Перейти к содержанию
    

lostbegan

Участник
  • Постов

    43
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о lostbegan

  • Звание
    Участник
    Участник
  1. Ну понятно что Avalon участвует. Я вот думаю еще нужно ли обязательно подключать SSRAM, или потоком тоже заработает с ножки непосредственно.
  2. Добрый день. Необходимо прощупать возможность работы порта PS2 на плис (EP4CE6E22C) через Nios 2. Есть примеры для языков проектирования, но хочется именно через Nios потискать. Собственно хочу подключить клавиатуру и какой нибудь код клавиш вывести хотя бы на диоды, это пока неважно. Напоминаю, клавиатура работает по двум ножкам - данных и синхросигнала, у каждой клавиши есть 8 - битный код, который считывается после старт-бита по тактам CLK. Литература намекает что надо подключить PS2 controller в SOPC (Qsys) и далее в Eclipse подключать библиотеки (# include "alt_up_ps2_port.h", # include "ps2_keyboard.h ", # include "ps2_mouse.h"). Но эклипс на них матерится. Ударяюсь об это, может в системе чего либо не хватает. Кто то сталкивался? ПС: напрямую с ножек пытался принимать сигнал и выводить на диод, полугорящее мигающее состояние говорит о том что порты и клавиатура работают. Идентифицировать код влобовую (циклом читать ногу после стартбита с сохранением в tmp) не удалось. По клаве: http://4a4ik.blogspot.ru/2015/07/ps2.html По библиотекам: ftp://ftp.altera.com/up/pub/Altera_Materi...P_Cores/PS2.pdf
  3. Запутался в мыслях. Необходимо сделать проект с использованием Nios. Есть некоторые представления о работе Qsys, есть практические навыки тыкать пальчиком в эклипс и квестасим - знаю принцип их согласования друг с другом и последовательность постройки проекта. Перерыл литературу в таком "пробегательном глазами" режиме, где то конечно останавливался. Нет платы, и не будет, только моделирование. Нужен проект невысокой сложности: какие то входные данные -> работа NiosII -> моделирование, либо вывод в консоль (если это реально без стенда) -> Как итог диаграммы, показывающие работу системы. Натыкался на пдп, контроллеры сети, но ничего конкретного не нашел. В голове сумбур полнейший. Вопросы: 1. Натыкался на форумские беседы, все пилят Quartus 9, 12, но про 16 вообще речи нет. Стоит ли в ней дальше работать, или гиблая тема? 2. Кроме Наливатора и Эфо есть еще литература на русском? 3. Куда проще всего копать? именно такое что нибудь нужно, чтобы диаграммы ярко отобразили работу системы. 4. PIO организован, дальше развивать интерфейсы В/В смысла нет как основную часть, только в качестве помощников. Просьба подкинуть идеи и литературу, возможно какие то наброски и тонкости. Выполнение проекта в итоговом варианте не должно быть трудоемким, понятно что при первом полете надо будет сопеть не день и не два, имеется в виду что потом, при правильном инструктировании, человек сможет повторить за ограниченное время (часы, дни). Буду благодарен любой информации
  4. Эти циклоны дорогие. я думал в пределах 2000р можно найти вариант Возможно придется довольствоваться симуляцией. Насчет того то что экономичная версия Ниоса бесплатная - так и есть. Однако не думал что такие цены на нормальные Альтероплаты. Во всяком случае, большое спасибо
  5. Добрый день. Не стал создавать новую тему, дабы не замусоривать форум. В принципе вопрос тоже связан с выбором и покупкой платы. Но сначала вопрос, нужно разработать некоторые проекты с использованием процессора Nios II, сложности уровня лабораторных работ для студентов. Для начала хочу понять что из себя физически представляет NIos? Проведу аналогию, если например с системой на плате все понятно - захотел пощупать процессор, снял железку с материнки и смотри на него, трогай) То с Ниос не так все однозначно. Насколько я начитался и понимаю он вообще не существует как отдельный узел, он только как абстрактный функц блок в сапре Quartus (моя версия 15.1, бесплатная)? Если так, то вероятно не каждая плата может с ним работать? В связи с этим прошу подсказать недорогую плату с небольшой вычислительной мощностью. Находил варианты https://ru.aliexpress.com/item/ALTERA-FPGA-...14-c8696cded8a6 https://ru.aliexpress.com/item/The-Black-Ha...14-c8696cded8a6
  6. Лучше готовый блок, хотя если процесс не слишком уж трудоёмкий, можно и написать. Цель работы показать что Nios может контролировать передачу данных в ддр. Поэтому его нужно бы оставить
  7. Имеется Quartus 16.1. Бесплатная версия. Стоит задача создать некоторую симуляцию ПДП. Что то вроде лабораторной работы. Пока почитал альтеровские документы, понятно что реализовать возможно, но с языком сложности, адекватных инструкций найти пока не удалось. Разверну задачу. Платы нет, поэтому на итоге хочу увидеть диаграммы (моделсим, квестасим, встроенный - неважно). Представляю себе что то вроде - nios, onchip_memory, ddr2, dma controller. Соответственно, проц выполняет загрузку кода из ончип, пишет и/или читает из ддр2 через контроллер. Правильно ли я мыслю или мне вообще стоит пересмотреть систему Вообще нужен ли dma controller или проще просто процессору занести данные в память Из документов натыкался https://www.altera.com/en_US/pdfs/literature/an/an398.pdf http://www.impulsec.com/AppNotes/APP104_DM...4_DMA_NIOS2.pdf Может есть какие более наглядные примеры и советы по граблям?
  8. Да, system.h смотрел. Теперь заработало!!!! Путаница была, это два аналогичных проекта. просто в том я неправильно генерировал (не было elab_debug) а тут и правда вх/вых перепутал. Спасибо вам огромное! Помогли донабрать недостающие крупицы. Очень благодарен Попробовал заводить через переменные, константы. Все отлично работает. Еще раз спасибо! Раз уж заработало. То задам еще вопрос. Возможно ли в теории сделать следующее. К примеру на Qsys я собрал ту же систему и создал схему BDF, на ней есть экспортированный вход PIО. Реально дать в него какой нибудь сигнал, который дальше в прошивке ниос обработать и так же вывести на PIO_OUT в виде диаграмм? Стоит ли к этому двигаться, или без платы нет смысла
  9. к прошлому посту. Дабы не быть голословным, прикрепляю скрин. Полоска клока толстая изза масштабирования, означает что очень много синхросигналов. К вашему вопросу. Код #include <stdio.h> #include "system.h" #include "altera_avalon_pio_regs.h" //#define PIO_0_BASE 0x41000 int main() { while(1) { IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, 0xAA); IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, 0x55); } return 0; } PIO у меня два, на вх и вых. (скрин2) ну и оба они экспортированы. Это видно на рис в первом посте данной темы
  10. Я думаю дело не в этом. Скорее всего что то сделал не так. Я даже просматривал 2ms. Тактовый сигнал при отображении на экране был сплошной толстой зеленой полосой, но PIO так и не отозвался. Так же было и раньше, такое чувство, что не хватает какой то мелочи
  11. поставил тоже квестасим. Работает, окна не зависают. Генерирую тб в qsys - не simple, а standart. Напомню что выходы PIO у меня экспортированы. Далее все делаю как вы описывали, даю ссылку эклипсу на .spd, жму RUN, открывается questa. Генерирует, пишу elab_debug, опять генерирует и остается вот это (скрин1). Добавляю все объекты на волну, даю шагов столько, чтобы резет отработал, и хоть убей PIO не реагируют (скрин2) код тот, что вы писали, точно такой же
  12. все в принципе понятно, подобное делал, только без elab_debug. Только у меня ModelSim виснет. просто окошко закрывается с ошибкой. думаю стоит переустановить
  13. >>Далее п 8 на рисунке, команда в консоли elab_debug и т.д., как написал выше и всё увидите п8 я так понимаю это RunAs-NiosII ModelSim. Далее насчет консоли вопрос? имеется в виду надо в скриптовый файл написать elab_debug. Тот который msim_setup.tcl? Просто как таковой консоли я не вижу И еще вопрос, в Run configurations у меня стоит сссылка на ModelSim, а у вас на Questa SIM, это другой софт или просто часть Modelsim?
  14. Не такой уж и кайф) много времени провел в попытках, результата мало, итога как такового нет, это немного напрягает. В Qsys пункт меню Generate -> Generate Testbench (согласно доке). - это так и делал, тб генерировал
×
×
  • Создать...