Перейти к содержанию
    

OgRe

Участник
  • Постов

    56
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о OgRe

  • Звание
    Участник
    Участник
  • День рождения 07.03.1984

Контакты

  • Сайт
    Array
  • ICQ
    Array

Посетители профиля

823 просмотра профиля
  1. на работе у меня 8.2 ,действительно, а дома 9.1, как быть? :cranky: у того, кто будет принимать проект стоит 8.2., если синтезировать в 9.1. и отдать файл .ngc интересно что получится :blink:
  2. стоит опция "auto" поставил blok результат не изменился :(
  3. не то... :glare: синтезируется распределенная (distributed ) память на регистрах, лутах и блочных RAM меньшей емкости...нужно, чтоб в процессе синтеза использовалась блочная (block )память RAMB_s9_s18..
  4. :a14: жжете =) Там, воможно, все будет сходиться за счет разрядов для контроля по четности...
  5. вы лучше скажите как описать пространство куда обращаются порты, [15:0] RAM [2047:0] ? тогда как к нему обращаться отдельно с порта А и с порта Б, то что вы сказали понятно...
  6. `timescale 1ns / 1ns // // Write-First Mode (template 1) // module ram (clka, wea, ena, addra, dia, doa, dipa, dopa, clkb, web, enb, addrb, dib, dob,dipb,dopb ); //-Control Inputs, PortA input clka; input wea; input ena; input [10:0] addra; //-Data Input & parity PortA input [7:0] dia; input dipa; //-Data Output & parity PortA- output reg [7:0] doa; //------ output dopa; /******************************************* ********************************************/ reg [7:0] RAM_A [2047:0]; <=ПРОБЛЕМА ТУТ ///////////////////////////////////////////// //---------------------- //-Control Inputs, PortB input clkb; input web; input enb; input [9:0] addrb; //-Data Input & parity PortB input [15:0] dib; input [1:0] dipb; //-Data Output & parity PortB- output reg [15:0] dob; output [1:0] dopb; /******************************************* ********************************************/ reg [15:0] RAM_B [1023:0]; <=и ТУТ ///////////////////////////////////////////// //storage place reg [15:0] RAM [2047:0]; //reg [] //------------------------ //portA Behavior description always @(posedge clka) begin if (ena) begin if (wea) begin RAM_A[addra] <= dia; doa <= dia; end else doa <= RAM_A[addra]; end end //portB Behavior description always @(posedge clkb) begin if (enb) begin if (web) begin RAM_B[addrb] <= dib; dob <= dib; end else dob <= RAM_B[addrb]; end end endmodule вот код, после синтеза получается два блок RAM-a (RAM_s9 и RAM_S18). rand не получится ничего :(
  7. в темплейте(и xst user guide) есть варианты описаний, когда оба порта совпадают по размерности адреса и данных( вся сложность в том. что два порта обращаются к общему пространству, но при этом у них разная размерность((
  8. Xilinx Spartan3E Можно ли написать модель двухпортовой блочной памяти RAMB_s9_s18 на verilog (режиме "WRITE-FIRST"), а не использовать его как примитив кристалла? нужно для инициализации из файла.
  9. Интересно, зачем вам ресет(в принципе вообще любой сингал), который в процессе своей работы вообще не меняет свое значение? :cranky:
  10. а у меня все работало...просто скрипт другой был, автор(нормального скрипта) сказал, что там редактировать в одном мн месте, к сожалению с этим человеком сейчас связи нет :(
  11. Собственно проблема: не получается установить драйвера для кабелей USB и Parallel-4 для программирования ПЛИС от Xilinx Spartan 3E, CoolRunner-2. ОС: Linux Ubuntu 6.10 версия ядра: 2.6.17-10-generic Поиск по форуму результатов не дал, так что, если что-то было, заранее прошу прощения! Мануал по установке с официального сайта Xilinx.com не помог, видимо, проблемы в скрипте-установщике сам мануал тут. Драйвер там же...Возможно, кто-то еще сталкивался с этой проблемой. СПАСИБО!
  12. последний ответ был на пост Andy-L'a приложил файли с проектом, простенькая схемка фильтра...может действительно что-то не так...
  13. спасибо, а Вы не подскажете где можно посмотреть описание к этим примерам из samples, думаю что где-нибудь в help'e, но там тааааакккооооой хелп :blink: :cranky:
  14. имена все на английском
  15. Помогите разобраться!

    Всем привет! Подскажите, пожалуйста, что делать, если не получается создать профиль для моделирования на Pspise, после схемного рисунка, проверерил на ошибки - все нормально, net-лист тоже создался без ошибок, появляется такое сообщение cannot initialize profile!. Версия ОрКада 10,0...В Session log ничего не появляется ... Зарание спасибо. Поиск на форуме не работает (или просто нет сообщений ) так что если такая проблема раньше обсуждалась, извеняюсь!
×
×
  • Создать...