Перейти к содержанию
    

Подскажите, как работать с двунаправленными портами? Как их описывать в VHDL и как назначить выводы ПЛИСа двунаправленными???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Описывать порты как INOUT плюс сигнал разрешения вывода данных на трехстабильную шину OE.

 

entity sdr_data_path is

port (

OE : in std_logic;

DQIN : in std_logic_vector(DSIZE-1 downto 0);

DQOUT : out std_logic_vector(DSIZE-1 downto 0);

DQ : inout std_logic_vector(DSIZE-1 downto 0);

);

end sdr_data_path;

 

architecture RTL of sdr_data_path is

 

begin

 

DQ <= DQOUT when OE = '1' else (others => 'Z');

DQIN <= DQ;

 

end RTL;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...