Перейти к содержанию
    

NIOSII - как общаться с внешней логикой?

Здравствуйте, уважаемые гуру.

 

Простейшая ситуация: есть NIOSII, есть регистры в собственной логике (Verilog).

Надо, чтобы регистры из Верилога были доступны в адресном пространстве NIOS.

 

В Xilinx это делается при помощи стандартного блока EPC.

Как делать в Altera?

Там есть контроллеры SRAM и SSRAM, но их времянки не слишком подходят.

Можно, конечно, написать свой компонент для SOPC, но неужели нет стандартного решения?

 

Всем заранее спасибо за ответы.

 

P.S. Quartus 9.1, т.е. Qsysов всяких нету.

Апгрейд на 10/11 просьба не предлагать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Простейшая ситуация: есть NIOSII, есть регистры в собственной логике (Verilog).

Надо, чтобы регистры из Верилога были доступны в адресном пространстве NIOS.

простейший способ - добавить компонент PIO

Апгрейд на 10/11 просьба не предлагать.

ок, не буду :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я сделал один бридж для этих целей(строчек 20-30 кода). Тупо вывел на улицу Авалон ММ и настроил минимальные тайминги (1 такт на запись и 2 на чтение). И всю свою логику делаю под этот интерфейс.

Апгрейд на 10/11 просьба не предлагать.

Да какая то беда у всех с этими 10ми версиями: что у Altium, что у Альтеры - одни баги!

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

простейший способ - добавить компонент PIO

Ноги ручками дергать? :blink:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ноги ручками дергать? :blink:

а в чем проблема? вы спрашивали про самый простой способ доступа к внешним регистрам. это он и есть, по сути - обертка к инструкциям stwio/ldwio. оверхеда никакого, только провода наружу

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а в чем проблема? вы спрашивали про самый простой способ доступа к внешним регистрам. это он и есть, по сути - обертка к инструкциям stwio/ldwio. оверхеда никакого, только провода наружу

Хех.

Написано было Надо, чтобы регистры из Верилога были доступны в адресном пространстве NIOS..

 

Ваш способ этому не соответствует никак.

Кроме этого, он обладает еще 10000 разных недостатков, о которых все и так знают.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хех.

Написано было Надо, чтобы регистры из Верилога были доступны в адресном пространстве NIOS..

они будут доступны в адресном пространстве. другое дело, что если регистров много, то тащить кучу проводов банально неудобно. тогда лучше делать Avalon-MM Slave обертку к вашему модулю.

Ваш способ этому не соответствует никак.

ну, вам виднее.

на случай недопонимания: под "вставить PIO" я имел в виду, что каждому вашему регистру будет соответствовать PIO-регистр (самого регистра может и не быть, тупо - провода наружу). никаких 10000 недостатков тут нет: запись/чтение быстрее некуда, оверхеда нет (или минимум)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

на случай недопонимания: под "вставить PIO" я имел в виду, что каждому вашему регистру будет соответствовать PIO-регистр (самого регистра может и не быть, тупо - провода наружу). никаких 10000 недостатков тут нет: запись/чтение быстрее некуда, оверхеда нет (или минимум)

Да, я понимал ситуацию несколько иначе...

Такой способ совсем не подходит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...