Перейти к содержанию
    

Констрейны для приемопередатчиков Altera GXB?

Здравствуйте, уважаемые гуру.

 

Пытаюсь тут пересесть с Xilinx на Altera, а конкретно - разбираюсь с альтеровскими приемопередатчиками.

 

Вылез следующий интересный эффект.

Нигде особенно не написано, как констрейнить альтеровские приемопередатчики.

По крайней мере, я пока не нашел (если кто знает, скажите, чего читать).

 

Тем не менее, TimeQuest (если не он, то кто же?) в процессе создания SDC-файла пишет туда автоматом целую кучу ограничений на клоки приемопередатчика.

А потом при компиляции компилятор на эти ограничения ругается нехорошими словами.

 

Если самому задать ограничения на входные, опорные и всякие прочие клоки, и написать derive_pll_clocks, компилятор не ругается и в "Unconstrained paths" ничего не пишет.

Надо ли на этом удовлетвориться, или необходимо продолжить разбирательства с "самосинтезируемыми" констрейнами.

 

Quartus V11.0 trial, Stratix IV.

 

Заранее спасибо за ответы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если приемопередатчики брать стандартные (что рекомендуется), то при генерации под конкретную ПЛИС большинство ограничений генерится самим Квартусом. Вам лишь необходимо констрейнить локальную сторону мегацункции. Потом к топовому проекту нужно прикрутить *.qip файлы, где уже должны быть прописаны необходимые констрейнты(*.sdc).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

Тем не менее, TimeQuest (если не он, то кто же?) в процессе создания SDC-файла пишет туда автоматом целую кучу ограничений на клоки приемопередатчика.

А потом при компиляции компилятор на эти ограничения ругается нехорошими словами.

...

Пишет не TimeQuest а визард :) Так а что именно ему не нравится? Пример в студию..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...