Перейти к содержанию
    

Какой способ разработки систем на ПЛИС сейчас наиболее популярен?  

209 проголосовавших

  1. 1. Какой способ разработки Вы используете?

    • Схемотехнический ввод
      11
    • Verilog
      102
    • VHDL
      83
    • AHDL
      5
    • другой
      8


Пример одного и того-же в Топе...

 

Компоненты можно не описывать,очень засоряет исходник.Использовать только port map.

Например так:

 

    pc_map: entity work.program_counter(program_counter_arc)
    generic map
    (
        INIT        => RESET_ADDRESS
    )
    port map
    (
        clk         => clk,
        reset_n     => reset_n,
        pc_in       => pc_in,
        pc_out      => pc_out,
        pc_write    => pc_write
    );

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мои слова "все описано на HDL" означали, что сам синтезируемый проект полностью описан на HDL, без использования схемного ввода, а никак не то, что "самодокументирование на HDL предел совершенства". :)

Вам ещё нужен костыль. В виде бумаг, где есть эта самая графика....

 

 

Графика - это хорошо, я согласен.

Но зачем ограничивать себя в инструменте? Схемный ввод ну никак не удобней, чем тот же Visio. :)

 

Визио для других целей. Сквозного проектирования нет. Увы...

 

 

Вот-вот, и я про тоже. :)

Зачем мне использовать для графической документации инструмены, которые совершенно для этого не приспособлены.

Использования синтезируемой конструкции как документации явно ограничивает свободы.

А что, мне захотелось оформить графику для модуля, который не top-level? Тоже переписать его на схематику? Очень странный вариант.

Или не использовать графику ни для каких модулей, кроме top-level'а? Тогда документация будет не самой хорошей, я полагаю. :)

А сложная иерархия не запрещает, а наоборот, вынуждает применять удобное графическое описание. И это самая лучшая документация. Глупо от неё отказываться...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Визио для других целей. Сквозного проектирования нет. Увы...
Даже учитывая это, графическую документацию в нем удобней рисовать. :)

 

А сложная иерархия не запрещает, а наоборот, вынуждает применять удобное графическое описание. И это самая лучшая документация. Глупо от неё отказываться...

 

Только я не понял, что мне, следуя Вашему пути, нужно сделать. если я хочу графически описать модуь, уже написанный на HDL?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Даже учитывая это, графическую документацию в нем удобней рисовать. :)

Если бы Визио был вплетен в среду проектирования, мне нечего было бы возразить...

 

Не вижу проблем. Схематик горбат, но к нему не сложно приноровиться... Там своя логика!

 

Только я не понял, что мне, следуя Вашему пути, нужно сделать. если я хочу графически описать модуь, уже написанный на HDL?

 

Как минимум диаграмму состояний на манер ActiveHDL. Кстати, его я тоже использую для документирования. (*.asf реально проясняет понимание поведения)

 

-------------------------

Народ, какие ещё альтернативные варианты в практике?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что, качество кодогенерации неудовлетворительное? Катапульта что выдаёт? Сразу готовый нетлист для P&R или что?

Этот вопрос лучше рассмотреть в другом топике.

Где то была отдельная тема.

 

По топику.

"Ой не понимаю, чего вы спорите -то..." @

Для каждой вещи должен быть свой инструмент.

Вопрос был четкий - способ разработки систем, а не способ документирования.

По вопросу документирования тоже была в своё время тема. По окончанию комплексной отладки нужно составить документ с полным описанием. Иначе это будет не проект, а "какая-то непонятная" прошивка.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как минимум диаграмму состояний на манер ActiveHDL.

 

Согласен. Тоже вещь порой нужная.

Просто чертить ее ну намного удобней в любом графическом редакторе. Или в том же Visio. :)

Только он платный, наверное? Я, просто сам под Linux - но там куча альтернатив для графики - Inkscape, dia, tgif, или вот. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пример одного и того-же в Топе...

 

Пока одни разочарования. В пикаде не открывается, в альтиуме пустой лист.

Просто ответьте, Вы при описании проекта под кристалл используете стандартизируемый язык описания или графический редактор?

p.s. До меня дошло. Это Вы мне с расширением схематика xilinx предложили разобраться. Увы, нет возможности.

А значит и востребованности в этом.

Вот и вся графика в смысле переносимости.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чем можете объяснить преобладание использования Verilog?

1. Меньше писанины (компоненты объявлять не надо).

2. Меньше геморроя с приведением типов (палка о двух концах - не забываем!).

3. С документацией проще.

4. Есть развитие - имею ввиду SV, который является стандартом и поддерживается многими ситезаторами.

Для VHDL новый стандарт появился не так уж давно, софт под него написать не успели.

5. Большинство примеров, фришных корок и т.д. как правило пишут буржуи, а у них Verilog более популярен.

Это не значит что VHDL хуже.Более того, есть очень удобные вещи, которые поддерживаются в VHDL и не

поддерживаются в pure Verilog. Но взвесив все плюсы и минусы, большинство всё равно выбирает Verilog с

перспективой SV.

Хотя остаются и "мастодонты", пользующие к примеру, AHDL или даже Schematic. Тут, мне кажется, в первую очредь играет

фактор лени и упрямства. Переходить не хотят чисто из чувства противоречия. Время всех рассудит. К примеру, schematic,

уже сейчас поддерживается весьма криво, а дальше , я думаю, его просто уберут совсем. Ибо нефиг.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Меньше писанины (компоненты объявлять не надо).

Про это уже сто раз писалось. Попробуйте почитать.

 

3. С документацией проще.

Это как? Можно подробнее?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Про это уже сто раз писалось. Попробуйте почитать.

Предложенный способ (с подключнием библиотек) считаю кривым и потенциально опасным (где гарантия что ссылка идёт на актуальную версию либы?).

Кроме того возникает необходимость указывать либы для ВСЕХ используемых модулей, а их (модулей) может быть немало. Просто большоая писанина заменяется

на чуть меньшую с заложенными внутри граблями.

 

Это как? Можно подробнее?

Ну вот как-то так получается, что книжек и пособий по верилогу в среднем по миру чуть больше, чем по VHDL.

Не знаю уж почему так получилось...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

мне кажется уже пора выносить в отдельную ветку под названием "Оформление документации для проектов с ПЛИС" :)

 

У меня такой вопрос какую документацию Вы сдаете на своем предприятии/фирме?

диск с проектом/(файлом для конфигурирования) + инструкция по программированию ПЛИС?

Просто на сегодняшний день ГОСТов нет.

 

PS за примеры буду премного благодарен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чем можете объяснить преобладание использования Verilog?

 

Большей активностью пользователей, использующих этот язык.

А литературы русскоязычной (как учебник искусству схемотехники) нет, с моей точки зрения.

(Бибило - это академический товарищ. Ему схемотехника по барабану)

 

диск с проектом/(файлом для конфигурирования) + инструкция по программированию ПЛИС?

 

Даже без проекта. Таких ПЗУ ведь море.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пока одни разочарования. В пикаде не открывается, в альтиуме пустой лист.

Просто ответьте, Вы при описании проекта под кристалл используете стандартизируемый язык описания или графический редактор?

p.s. До меня дошло. Это Вы мне с расширением схематика xilinx предложили разобраться. Увы, нет возможности.

А значит и востребованности в этом.

Вот и вся графика в смысле переносимости.

Графика на мой взгляд играет очень важную роль... особенно для быстрого понимания

Просто графику нужно сохранять например в PDF/графический формат (jpg, png, bmp, ...) - и никогда не возникнет вопросов о переносимости

Тем более есть PDF принтеры... ;)

 

Даже без проекта. Таких ПЗУ ведь море.

Хорошо. :) А по прохождении времени (достаточно большого) нужно что-то исправить, например сделать инверсию какого-то сигнала. Что Вы делаете?

Как Вы проверяете идентичность версий проекта?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Предложенный способ (с подключнием библиотек) считаю кривым и потенциально опасным (где гарантия что ссылка идёт на актуальную версию либы?).

Кроме того возникает необходимость указывать либы для ВСЕХ используемых модулей, а их (модулей) может быть немало. Просто большоая писанина заменяется

на чуть меньшую с заложенными внутри граблями.

Подсунуть по ошибке не ту библиотеку существует возможность всегда, и от языка она не зависит.

 

Ну вот как-то так получается, что книжек и пособий по верилогу в среднем по миру чуть больше, чем по VHDL.

Не знаю уж почему так получилось...

На английском да. На русском языке все же не так.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...