Перейти к содержанию
    

Нужна альтернатива Chipscope

Необходимо писать из файла в шину внутри ПЛИС Xilinx. Chipscope не может записывать в шину из файла, а только вручную ( через блок VIO), причем очень медленно.

Есть ли какая- либо альтернатива Chipscope, которая может выполнять эти действия?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Необходимо писать из файла в шину внутри ПЛИС Xilinx. Chipscope не может записывать в шину из файла, а только вручную ( через блок VIO), причем очень медленно.

Есть ли какая- либо альтернатива Chipscope, которая может выполнять эти действия?

 

насколько я понимаю, такого средства нет, в том виде как поставлена задача.

 

Единственный анализатор, который кроме Chipscope Вы можете приладить к Xilinx - rtl - level - Identify. Насколько я знаю, он вообще не умеет выставлять значения в кристалл извне. Ето единственная feature, реализованная в chipscope и отстутствующая в identify, хоть и неясно зачем такое надо. Ясно что реализация такой возможности с хоста через JTAG нормально работать не будет, и всегда принесет случайные задержки и небольшую скорость (а по меркам ПЛИС - никакую).

 

Очевидно нужно переделать условия задачи так: передать данные из хоста на внутреннюю шину простейшим образом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно вручную вставить BSCAN, и вручную написать ПО для "передачи" данных туда через JTAG интерфейс. Если железка подключена к компу и есть драйвер, то, возможно, проще написать пару модулей. Если интерфейса кроме JTAG нет, то вручную.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

только по JTAG, а на как написать модули? С помощью tcl выйдет?

1 вопрос - да.

2 вопрос - нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А на чем можно написать, так чтобы этот язык/способ можно было бы освоить за недолгий период(до месяца хотя бы)?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А на чем можно написать, так чтобы этот язык/способ можно было бы освоить за недолгий период(до месяца хотя бы)?

VHDL или Verilog

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А на чем можно написать, так чтобы этот язык/способ можно было бы освоить за недолгий период(до месяца хотя бы)?

у меня на сайте выложены статьи об отладке микроконтроллеров в ПЛИС через JTAG и тестовый софт-пример...

Удачи!

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На самом деле есть ещё способ.

Называется Matlab Hardware Cosimulation.

В общем если плата стандартная, от Xilinx, то к ней есть библиотеки для осуществления косимуляции через мат лаб. Т.е. можно сделать чтобы данные генерируемые Матлабом посылались в FPGA. Для большинства плат поддерживаются два интерфейса - JTAG (медленно) и Ethernet.

На самом деле через JTAG косимуляцию можно осуществлять практически для любой платы. А вот для Ethernet уже сложнее, если платы нет в списке поддерживаемых.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Давече видал самопальную систему для функционального тестирования "своих родных" IP средней и большой сложности:

IP подключенное через враперы к самодельным модулям и заливается в FPGA.

FPGA поключено к ПК где крутится самопальный софт, который заталкивает сигналы в ModelSim для визуализации.

Софт написан на С, соответственно свое API которое позволяет строить разные тестбенчи.

Единственное было непонятно через какой интерфейс оно подключается JTAG или PCIe.

Сначало овладело желание изваять чтолибо похожее, но потом задумался, нужно ли?

 

Кто-либо строил свои системы для отладки в железе?

Изменено пользователем antsu88

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уже второй год вынашиваю тайный план написать парсер для online захвата сигналов через LVDS пары... может быть попытаться сделать открытый проект для этих целей ?

в идеале хотелось бы:

1. иметь корку-скрипт-прогу, в которую указываешь полные пути к сигналам, а она автоматом выцепляет их из глубин иерархии для дальнейшего анализа.

2. чтобы экономить BRAMы, иметь возможность выбрать группы сигналов для отображения, и переключать их с компа командой (через vio аналог). Суперфича будет возможность "online" набирать из списка такую группу сигналов, без перекомпиляции bitstream'а.

3. возможность использовать внешнюю память (SDRAM/DDRAM/SRAM) для буферизации сигналов (хотя бы не широких шин или сигналов с большой скважностью разрешающих)

4. писать-читать эту память с компа, через PCIe/GEthernet/USB3.0/LVDS по команде

5. ну естественно все остальные фичи ChipScope...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...