Перейти к содержанию
    

Схемотехнические трюки для ПЛИСоводов

Я думаю лучше не объединять, а сделать новый раздел с названием типа "Оптимизация ..."

Ведь, по существу, все эти темы и вопросы и связаны с оптимизацией по площади, либо по времянкам, либо по потреблению.

 

Объединять с обучалками, я думаю, не стоит. Слишком различный смысл.

Насчет обсуждение в два этапа согласен. После того, как участники придут, так сказать, к "оптимальному" варианту, модератор переносит решение.

 

На роль модератора нового раздела, естественно, предлагаю des00. Он, с одной стороны, автор идеи, а с другой стороны, гуру. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На роль модератора нового раздела, естественно, предлагаю des00

Принято

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На роль модератора нового раздела, естественно, предлагаю des00. Он, с одной стороны, автор идеи, а с другой стороны, гуру. :)

Полностью согласен

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Полностью согласен
А я не согласен. Он AHDL на дух не переносит. А у меня все наработки на этом языке. Причем, все работает на ура.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А я не согласен. Он AHDL на дух не переносит. А у меня все наработки на этом языке. Причем, все работает на ура.

Это Ваше мнение. Я тоже AHDL на дух не переношу, des00 - пишет на Verilog/SystemVerilog, а я на VHDL но это не мешает ему давать дельные замечания и предложения по решению возникшей проблемы. Это мое мнение.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Aprox, когда серьезные люди начинают делать серьезные вещи, мелочи не имеют значения. Язык в такой ситуации малозначим, даже тот, на котором общаются эти серьезные люди, так как со временем члены команды начинают понимать друг друга с полуслова :) Проверено многократно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А я не согласен. Он AHDL на дух не переносит. А у меня все наработки на этом языке. Причем, все работает на ура.

 

Покажите. В моделсиме.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 вопроса:

а) чем содержательно должен отличаться подразумеваемый раздел от 4-ого раздела по ПЛИС "Системы на ПЛИС - System on Programmable Chip" (он открывался для обсуждения корок)? большей мелкозернистостью обсуждаемых модулей?

б) по какому принципу будет организовываться структура раздела? надеюсь каталогизация подразумевается?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я предлагаю объединить это обсуждение с обсуждением видео-обучалок.

Ответил вам в вашей теме

 

Скажите, что считаете более приемелемым

Надо немножко подумать

 

Я думаю лучше не объединять, а сделать новый раздел с названием типа "Оптимизация ..."

Не хотелось бы останавливаться только на оптимизации. У меня накоплен небольшой багаж по и HDLным трюкам. Т.е. как более красиво описать то или иное. Например CaPpuCcino помнит про решение задачи создании шаблона функций в SV %) Помимо этого есть небольшой багаж по тупости синтезаторов. Например оптимальное в ква описание массива счетчиков, была года два назад и такая тема. Всё это представляет собой обобщенный класс "трюков", который и хотелось бы донести.

 

А я не согласен. Он AHDL на дух не переносит.

Как уже говорил я работал на языках AHDL/VHDL/V/SV и совершенно ровно отношусь к ним ко всем. Но, как вы правильно заметили, я на дух не переношу голословных, не подтвержденных делом утверждений. Говоря простым языком "Нужно отвечать за базар" %) Но это уже офтоп.

 

а) чем содержательно должен отличаться подразумеваемый раздел от 4-ого раздела по ПЛИС "Системы на ПЛИС - System on Programmable Chip" (он открывался для обсуждения корок)? большей мелкозернистостью обсуждаемых модулей?

Целью создания.

Например в свое время я сохранил несколько вариантов делителей опубликованных на форуме. Когда мне потребовалось поставить в свой проект делитель, мне стало интересно написать свою версию. Достал из запасника то что сохранил, раскрутил алгоритм и написал свои реализации. Т.е. использовал форум как справочный материал. Таких справок по форуму лежит много : переход доменов, подсчет КРК, единиц, математика, сортировка и т.д. и т.п. Почему бы их все не объединить в одну справочную базу, снабженную комментариями опытных разработчиков.

 

Помимо этого все мы используем в своей работе какие то наброски, наработки, описания, которые по нашему мнению идеально подходят и представляют собой что-то вроде собственной "черной магии". Выводились же все эти "трюки"/"хаки" не в слепую, а используя определенные предпосылки и логические заключения (те же шаблоны функций в SV). Было бы интересно всё это собрать под одной темой показав логическую сущность и(или) нестандартность нелинейность мышления HDL дизайнера. %)

 

б) по какому принципу будет организовываться структура раздела? надеюсь каталогизация подразумевается?

Это обсуждаемо, каталогизация нужна, иначе будет кто в лес, кто по дрова. Wiki структура хорошо для этого подходит, но формат подачи материала нужно еще обдумать.

 

ЗЫ. В свое время на телесистемах существовал FAQ по ПЛИС, содержащий базовую информацию. Когда я 10 лет назад начинал работать, мне он сильно помог, не смотря на то, что количество вопросов там было мало.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Продолжаю не постигать, зачем такие сложности? Зачем раздел форума? Есть wiki. Технология wiki изначально задумывалась как коллективный агрегатор информации. У каждой записи в wiki есть страница обсуждения. Зачем нужно ограничивать кого-то в редактировании wiki? Боитесь вандализма? У каждой записи в wiki есть история. Всегда неправильную правку можно откатить. Особенно настойчивых вандалов можно просто банить на всём ресурсе, ибо нефиг.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Андрей, если я правильно понял Дениса, в результате работы в разделе в том числе будет происходить наполнение полученных результатов в Wiki. Раздел для того, чтобы набрать команду, согласовать действия, найти темы, найти решения задач, совместно разработать, поделиться, покритиковать. Все это в направлении действия конкретной команды с конкретными результатами на выходе.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну ладно, поживём -- увидим.

 

Что такое wiki/ Если типа этого http://allfpga.com/wiki/ModelSim,

то это явно не дотягивает до желаемого

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что такое wiki/
Не понял вопроса. Если имеется в виду, что такое вики в принципе, то Википедию вы, наверняка, видели. Если имеется в виду, что такое вики на Электрониксе, то ссылка вверху страницы: http://electronix.ru/mkportal/modules/mediawiki/index.php.

явно не дотягивает до желаемого
Не совсем понятна претензия. Отчасти оттого, что я так и не понял, в чём это желаемое состоит. По ссылке используется обычный вики-движок. Ну а что структура наполнения не развита, так это зависит от наполняющих.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если имеется в виду, что такое вики на Электрониксе, то ссылка вверху страницы:

Не совсем понятна претензия. Отчасти оттого, что я так и не понял, в чём это желаемое состоит. По ссылке используется обычный вики-движок. Ну а что структура наполнения не развита, так это зависит от наполняющих.

 

Спасибо за ссылку. Теперь буду знать, что на электрониксе есть wiki. ( Видимо я сюда редко заглядываю).

А претензий нет.

Пусть будет и то и другое. Но с идентификацией написавшего.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...