Перейти к содержанию
    

ISE Xilinx даёт ошибку, не знаю как обойти

ISE Xilinx даёт ошибку, не знаю как обойти:

Running directed packing...
ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB
   component:
       TBUF symbol "XLXI_310" (Control Signal = From_Altera_R_IBUF)
       LATCH symbol "XLXI_414/XLXI_4/I_Q0" (Output Signal = MV_A<3>)
       PAD symbol "DEV_A0_CLE" (Pad Signal = DEV_A0_CLE)
   The register symbol XLXI_414/XLXI_4/I_Q0 has no connections inside the I/O
   component.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ISE Xilinx даёт ошибку, не знаю как обойти:

Без куска кода с сигналами From_Altera_R_IBUF, MV_A<3>, DEV_A0_CLE однозначный вывод сделать тяжело будет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Без куска кода с сигналами From_Altera_R_IBUF, MV_A<3>, DEV_A0_CLE однозначный вывод сделать тяжело будет

 

 

Спасибо, вроде сожрало. Простые буферы по входу заменил на IBUF.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...