Перейти к содержанию
    

тема для Aprox и любителей AHDL

Давайте, давайте - обрезайте функциональность.

...

Вынужден предостеречь- ваш вылизанный по быстродействию SV проект для кристаллов Altera скорей всего будет давать крайне неудовлетворительные результаты для кристаллов Xilinx.

...

И считаю это лучшим доказательством свой правоты в споре AHDL <-> VHDL\V\SV .

Aprox вполне заслужил звание бредогенератора №1. К сожалению на звание инжинера он не тянет, такое дремучее невежество по отношению к HDL никак с FPGA не сочетается :1111493779:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... или как съесть слона" %)

Вот-вот, научите, пожалуйста, "готовить слона"! Благодарю заранее! :)

 

По поводу дуэли - напоминает вражду "тупоконечников" и "остроконечников", уже не помню, где, в "Гулливере", что ли...

Я своего приятеля даже с Паскаля на Си не смог перенаправить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По поводу дуэли - напоминает вражду "тупоконечников" и "остроконечников", уже не помню, где, в "Гулливере", что ли...

 

В корне неверно.

////////// Будем драться? Не. Я Вас просто буду бить. //////////////////////

К сожалению - это тенденция, связанная со старшим поколением. Вроде бы на базе громадного практического опыта труда не составляет слямзить то, что любезно предоставляют. Ан нет.

В результате - волк одиночка. (ничего личного).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здесь меряются не теми "концами". Фактически идет битва умов, а выдается за битву языков.

 

upd. Вот кабы des00 написал то же еще и на AHDL :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ИМХО, спор ни о чем.

Естественно, если оптимизировать код под целевую платформу, то в результате получим более оптимальное решение. И к языку программирования это ортогонально.

Например, есть некоторый абстрактный процессор с аппаратной поддержкой плавающей точки. Можно написать код перемножения двух чисел на Си, который будет трактоваться компилятором с привлечением модуля плавающей точки, и написать на ассемблере перемножение "в лоб". Естественно, сишная программа уделает ассемблерную. Но разве это повод утверждать, что язык Си круче чем ассемблер?

 

Другое дело то, что на SV\Verilog\VHDL, в отличии от AHDL можно писать кросс платформенный код (возможно, это будет не самое оптимальное решение для данной платформы). И в этом его главный, неоспоримый плюс.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Давайте, давайте - обрезайте функциональность. Не огорчите только любителей точного соблюдения стандарта en8b/10b.

Теперь уже из принципа не буду, какой смысл мне под вас подстраиваться. Я остаюсь в пределах интерфейса альтеровской корки. Кто хочет проверить увидит ли ква ROM пусть пробует, результат я знаю заранее

 

Вынужден предостеречь- ваш вылизанный по быстродействию SV проект для кристаллов Altera скорей всего будет давать крайне неудовлетворительные результаты для кристаллов Xilinx. Потребуется солидная переработка исходников. Поэтому советую отказаться от слов "для любой ПЛИС".

А вот и проверим, господа у кого есть симплифай, соберите последнюю версию кодера и выложите ее результат. У меня есть ISE, но нет симплифая. Чипом выберем ну положим спартан3е, самый медленный. Хотя результат синтеза на этой платформе легко рассчитывается заранее. Уважаемые латексоводы у которых есть симплифай, проверьте под самый медленный xp2.

 

Я очень доволен, что направил ваши поиски оптимальности в правильном направлении. И считаю это лучшим доказательством свой правоты в споре AHDL <-> VHDL\V\SV . Ведь, увязший в академических языках вряд-ли догадается использовать ROM для перекодировки символов. Ему надо про это специально напоминать. А вот пишущему на AHDL практику ROM - первое, что приходит в голову. Я думаю, мы остались довольны друг другом и результатом дурацкой дуэли.

Вы себе сильно льстите, даже очень. Я думал о вас лучше.

 

upd. Вот кабы des00 написал то же еще и на AHDL :)

я работал на этом языке 8 лет назад, одна серия проектов до сих пор выпускается %) Но делать этого не хочу, это будет уж сильно в одни ворота.

 

ИМХО, спор ни о чем.

Задумывалось всё красиво, но вылилось в банальный базар %(

 

ЗЫ. Скоро завершаем первый раунд. Жду предложений о модуле на второй. Мое предложение рассмотреть самый банальный ЦОС ный элемент, симметричный FIR фильтр на цепи сумматоров. Мою сторону, на начальном этапе, будет представлять вот этот код . Потом предлагаю рассмотреть FIR на МАС ячейках.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Естественно, если оптимизировать код под целевую платформу, то в результате получим более оптимальное решение. И к языку программирования это ортогонально.
Hет, не ортогонально. Язык AHDL именно для целевой платформы- кристаллы Altera. Поэтому, даже не очень опытный programmer будет совершенно бесплатно и незаметно ему самому пользоваться заточенностью языка под альтеровские ПЛИСы. В то время как, наблюдая за приемами des00 по оптимизации кода, я понял- на SV надо специально указывать Quratus, какие заготовки firmware использовать в том или другом случае. По-существу, des00 наглядно продемонстрировал свой основной тезис- не в языках дело, а в прокладке между стулом и клавиатурой. Hо затратил на эту демонстрацию гораздо больше сил измучившись с SV, нежели я, написав всего три емких строчки кода на AHDL. Я все время постоянно твержу- синтезатор AHDL автоматически распознает в исходниках фрагменты, которые ложатся на готовые мегафункции, заточенные под архитектуру Altera. И поэтому результирующая разводка получается более оптимальной даже при не очень башковитой прокладкой между стулом и клавиатурой. Говорю, говорю- а никто не реагирует. Но вовсю пытаются доказать, что SV тоже так может. Да, может. Hо "прокладке" придется потрудиться много больше и проявить гораздо больше опыта и квалификации.
Другое дело то, что на SV\Verilog\VHDL, в отличии от AHDL можно писать кросс платформенный код (возможно, это будет не самое оптимальное решение для данной платформы). И в этом его главный, неоспоримый плюс.
Вы озвучили академический миф, который уже потерял силу под давлением практики. Модное увлечение кросс-платформой постепенно сходит на нет везде, где только можно. Уже убедились- никакой это не плюс, а сплошной минус. Проигрыш во всем, практически важном. В размере, в быстродействии, в стоимости, в обьеме и качестве библиотечного софта, в коммерции наконец. Главное- никакой идеальной кросс-платформенности достичь-то и не удается! Всегда потребуется адаптация, портирование некоей модели на конкретный кристалл или OC. А это уже влезание в тонкости, дикая затрата сил и времени. Ну, и в чем здесь "плюс"?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В то время как, наблюдая за приемами des00 по оптимизации кода, я понял- на SV надо специально указывать Quratus, какие заготовки firmware использовать в том или другом случае. По-существу, des00 наглядно продемонстрировал свой основной тезис- не в языках дело, а в прокладке между стулом и клавиатурой.

Будьте ласковы покажите в моем коде хоть одну строку, хоть символ, где я специально указываю

Quratus, какие заготовки firmware использовать в том или другом случае
. Если вы этого не укажите, это будет наглая ложь в письменной форме.

Hо затратил на эту демонстрацию гораздо больше сил измучившись с SV, нежели я, написав всего три емких строчки кода на AHDL.

Правильно, скомуниздив в каком то месте (что более вероятно) или сгенирировав в каком то стороннем тулзе таблицу (это мало вероятно), дополнительно вырезав половину кодера 8b10b, да вы батенька прямо таки дартаньян. И где здесь честный поединок? Но я уже по общению с вами понял, будучи приставленым к стенке вы не хотите бороться честно, вы скатываетесь на демагогию

Я все время постоянно твержу- синтезатор AHDL автоматически распознает в исходниках фрагменты, которые ложатся на готовые мегафункции, заточенные под архитектуру Altera. И поэтому результирующая разводка получается более оптимальной даже при не очень башковитой прокладкой между стулом и клавиатурой. Говорю, говорю....

вот такого вида.

 

2/3/4 раунд проводить будем?

 

ГЗВ. И не надо лапшу на уши вешать, что вы набили mif файл на 512 вхождений в ручную. Даже для вас это слишком.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здесь меряются не теми "концами". Фактически идет битва умов, а выдается за битву языков.

upd. Вот кабы des00 написал то же еще и на AHDL :)

 

Скорее даже не битва языков а битва двух подходов:

кроссплатформенного и метода вставления примитивов.

 

Но примитивы вставлять можно на любом языке: я тоже частенько их вставляю на VHDL, чтобы синтезатор не увильнул и вставил то что мне нужно. Поэтому зараненее ограничивать себя рамками AHDL считаю неправильным.

Когда нужна переносимость всего кода или хотя бы его частей и моделирование в чём то отличном от тупого-КАКТУС-симулятора - другие языки явно лучше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Модное увлечение кросс-платформой постепенно сходит на нет везде, где только можно. Уже убедились- никакой это не плюс, а сплошной минус. Проигрыш во всем, практически важном. В размере, в быстродействии, в стоимости, в обьеме и качестве библиотечного софта, в коммерции наконец. Главное- никакой идеальной кросс-платформенности достичь-то и не удается! Всегда потребуется адаптация, портирование некоей модели на конкретный кристалл или OC. А это уже влезание в тонкости, дикая затрата сил и времени. Ну, и в чем здесь "плюс"?

 

Вам напомнить причину, по которой Линукс стал популярным, и быстро накрыл кучу платформ? Про проигрыш Вы в общем случае правы, но забыли одну важнейшую вещь - время и стоимость разработки. А это - зачастую основной критерий при создании устройства. Паровой двигатель в 21 веке никому уже нужен не будет :biggrin:

 

И опять же в данном случае: нужно отделять мух от котлет. Логику можно описать хоть графикой, хоть ХДЛ. Результат синтеза будет зависить не от примененного средства, а от того, как описанная логика ложится на данную структуру ФПГА (см мой пример с ассемблером и Си выше).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я работал на этом языке 8 лет назад, одна серия проектов до сих пор выпускается %) Но делать этого не хочу, это будет уж сильно в одни ворота. 

Ну, мне кажется, основной смысл темы, все-таки, определить истину, а не показать, что товарищ Aprox не прав (в чем, я уверен, все и так уже смогли убедиться).  :)

 

 

 

 

 

2/3/4 раунд проводить будем?

Вообще идея достаточно интересная, но только на сторону AHDL встать некому.  :)

 

А может и не нужно? Может устроить "конкурс" типа такого же, но на одном языке?

Точнее не конкурс, а "обмен опытом". Я думаю, многим новичкам (вроде меня), да и не только новичкам это было бы полезно.

 

 

Естественно, окончательное решение за гуру, которые могут этим самым опытом поделиться, так как именно они будут тратить

свое время на помощь менее опытным, так как, все-таки, маловероятно, что новички смогут чему-то научить гуру.  :)

 

Но, если выбирать задачи достаточно простые, то много времени это отнять не должно.

 

 

P.S. Извините за сумбурное описание. Простуда + вечер - мозги совсем хреного варят.  :)  

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Aprox - а на чем Вы тестбенчи делаете?

AHDL их не поддерживает.... Неужели рисуете в "вэйформ редакторе"?

И насколько серьезные проекты Вы таким путем сделали?

 

Я абсолютно ничего не имею против AHDL, даже детей в универе учил на нем.

AHDL очень хорош когда нужен "быстрый старт". Но! AHDL - это Altera и только Altera.

 

Кстати, поищите по вакансиям - много-ли надо специалистов со знанием AHDL? :rolleyes:

 

Буду благодарен, если найдете хоть одну ссылку от более-менее серьезного работодателя.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну, мне кажется, основной смысл темы, все-таки, определить истину, а не показать, что товарищ Aprox не прав

 

Решение, которым так гордится товарищ Aprox, было единственным 25 лет назад. (счетчик ИЕ17 + ПЗУ 556РT7)

Смысл темы, по моему, кроме кодера, еще и декодер получить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Решение, которым так гордится товарищ Aprox, было единственным 25 лет назад. (счетчик ИЕ17 + ПЗУ 556РT7)

Смысл темы, по моему, кроме кодера, еще и декодер получить.

 

Я имел в виду, что было бы интересно посмотреть на AHDL-модуль, написанный des00, несмотря на то, что это, действительно, получается "игра в одни ворота".  :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...