zuuuuk 0 14 октября, 2009 Опубликовано 14 октября, 2009 · Жалоба доброго времени суток. я очень часто видел код vhdl, где происходило прибовление 1 в типу std_logic_vector почему у меня подобный код не работает Library IEEE; use IEEE.std_logic_1164.all; use std.textio.all; entity fb is port ( clk : in std_logic_vector; ); end; architecture fr of fb is begin process (clk) variable tmp : std_logic_vector (10 downto 0); variable tt : std_logic_vector (10 downto 0); begin if rising_edge ( clk ) then tmp := tt +1; end if; end process; end; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
AJIEKCEu 0 14 октября, 2009 Опубликовано 14 октября, 2009 · Жалоба use ieee.std_logic_unsigned.all; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 14 октября, 2009 Опубликовано 14 октября, 2009 · Жалоба я очень часто видел код vhdl, где происходило прибовление 1 в типу std_logic_vector почему у меня подобный код не работает use IEEE.numeric_std.all; предыдущий совет уже лет 5 как устарел :) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Vadim_nsk 0 14 октября, 2009 Опубликовано 14 октября, 2009 (изменено) · Жалоба Ввиду бесполезности моего ответа, удалил свое сообщение... :crying: Изменено 14 октября, 2009 пользователем Vadim_nsk Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
andrew_b 14 14 октября, 2009 Опубликовано 14 октября, 2009 · Жалоба доброго времени суток. я очень часто видел код vhdl, где происходило прибовление 1 в типу std_logic_vector почему у меня подобный код не работает Начнём с того, что фраза "код не работает" ни о чём не говорит. Если вы хотите, чтобы вам помогли быстро, потрудитесь сразу предоставить макисмум информации. В первую очередь, сообщения об ошибках компилятора. Library IEEE; use IEEE.std_logic_1164.all; use std.textio.all; entity fb is port ( clk : in std_logic_vector; ); end; Здесь тип сигнала немного не тот. if rising_edge ( clk ) then tmp := tt +1; end if; Вся математика в VHDL (за исключением "встроенных в язык" типов) находится в библиотеках. Вам уже ответили, что надо использовать стандартный пакет numeric_std из библиотеки IEEE. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
zuuuuk 0 14 октября, 2009 Опубликовано 14 октября, 2009 · Жалоба исчерпывающий ответ. блогодарю за внимание Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
PorychikKize 4 15 октября, 2009 Опубликовано 15 октября, 2009 · Жалоба use IEEE.numeric_std.all; предыдущий совет уже лет 5 как устарел :) Поясните, плиз, преимущества (и недостатки, если они имеются) использования ieee.numeric_std.all по сравнению с ieee.std_logic_unsigned.all Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
andrew_b 14 15 октября, 2009 Опубликовано 15 октября, 2009 · Жалоба Поясните, плиз, преимущества (и недостатки, если они имеются) использования ieee.numeric_std.all по сравнению с ieee.std_logic_unsigned.all Это обсуждалось не один раз. Воспользуйтесь поиском по форуму. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться