Перейти к содержанию
    

Всем привет!

В этой теме уже поднимался вопрос о триальности open-core.

Собственно, я с ним тоже столкнулся.

Ездил на 9.1 все нормально было, пересел на 10.0 и заглох.

 

 

Добавлю, генерится time limited sof, который загружается и работает.

При загрузке программером квартуса выводит окно типа: "нажми cancel и у тебя все встанет".

Действительно, прошивка работает (проверяю пингом самодельный TCP/IP стек) пока не нажмешь на кнопку.

Как понимаю предлагается купить ниос .

Мож есть народное средство :laughing: ?

 

Проблема решилась перепиской с участниками форума.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте, господа форумчане. У меня чайниковский вопрос. Тут где-то прочитал, что если программа для ниос не очень большая, то ее можно зашить одновременно с конфигурацией fpga одним pof файлом. Не подскажите как это сделать? Что-то никак не найду. Просто конфигурацию для ПЛИС мы делаем в SOPC билдере, а программу пишем в NIOS EDS, который создает свой файл и не изменяет первичный pof. Разве не так? Или я ошибаюсь...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

при сборке программы создается hex-файл для памяти, его можно вшить в sof(pof). для этого нужно настроить вектор сброса на on-chip memory, туда же слинковать программу. после каждой линковки hex-файл будет обновляться, не забывайте запускать Assembler в квартусе. ну, и вариант с бутлоадером тоже никто не отменял.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте, господа форумчане. У меня чайниковский вопрос. Тут где-то прочитал, что если программа для ниос не очень большая, то ее можно зашить одновременно с конфигурацией fpga одним pof файлом. Не подскажите как это сделать? Что-то никак не найду. Просто конфигурацию для ПЛИС мы делаем в SOPC билдере, а программу пишем в NIOS EDS, который создает свой файл и не изменяет первичный pof. Разве не так? Или я ошибаюсь...

 

Все делайте согласно прилагаемого ug_nios2_flash_programmer.pdf, если у Вас QII 7.2

А точнее, раздел "2. Using the Flash Programmer in IDE Mode"

Какой пункт в нем не ясен?

ug_nios2_flash_programmer_1.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно ли такой же финт сделать с rbf файлом? У меня просто несколько странная система. Cyclone грузится rbf файлом из компьютера через MAXII по PS. Т.е. моя собственная прога берет rbf и сует через MAXII в Циклон. На Циклоне не JTAG-а ни чего больше нет. Хочу поиграться с НИОСОМ. Алтеровской платы у меня тоже нет. Развел отдельную плату со всеми возможными интерфейсами конфигурации но пока она в производстве, хочется хоть как-то этот НИОС пощупать... Вот и хочу конфигурационный файл с прошивкой слепить в rbf файл и залить по-старому... Реально ли это?

Изменено пользователем nkie

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно ли такой же финт сделать с rbf файлом? ... Реально ли это?

а в чем проблема? берете sof, как я выше описывал, конвертируете в rbf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да проблема в том, что в проекте нет никаких flashmemory девайсов да и на плате их нет. А FlashProgrammer матерится на это когда я ему пытаюсь скормить .sopcinfo. Может закинуть какой нибудь флеш контроллер в проект надо... А все вектора в on-chip оставить... ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да проблема в том, что в проекте нет никаких flashmemory девайсов да и на плате их нет. А FlashProgrammer матерится на это когда я ему пытаюсь скормить .sopcinfo. Может закинуть какой нибудь флеш контроллер в проект надо... А все вектора в on-chip оставить... ?

зачем ва флеш-программер, если флеша нет :07: ? запускаете SOPC-билдер, в настройках процессора ставите reset и exception на on-chip, собираете проект. потом собираете прогу и запускаете квартусовский ассемблер, он сделает sof (или любой другой файл, в настройках можно указать)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

зачем ва флеш-программер, если флеша нет :07: ? запускаете SOPC-билдер, в настройках процессора ставите reset и exception на on-chip, собираете проект. потом собираете прогу и запускаете квартусовский ассемблер, он сделает sof (или любой другой файл, в настройках можно указать)

1. Собираю проект в SOPC-билдере. Самый простой первый туториал, где леды...

в настройках процессора ставлю reset и exception на on-chip

2. Генерю систему, получаю .sopcinfo

3. Компилирую проект в Квартусе, получаю .sof(.pof/.rbf)

4. Открываю NIOS EDS, подключаю там .sopcinfo, открываю пример count_binari, компилю его... получаю .elf

 

Что куда теперь подсовывать? Опять надо квартовский ассемблер на кого-то натравить? И какое место во всей этой истории занимает Flash Programmer?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4. Открываю NIOS EDS, подключаю там .sopcinfo, открываю пример count_binari, компилю его... получаю .elf

внимательно смотрите выхлоп консоли во время сборки, там пишется какие файлы (в т.ч. hex созданы/обновлены) hex лежит в основной папке проекта, не в software

 

Что куда теперь подсовывать? Опять надо квартовский ассемблер на кого-то натравить? И какое место во всей этой истории занимает Flash Programmer?

просто запустите ассемблер, сгенерируется sof, потом конвертируйте его в rbf. флеш-программер вам не нужен

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все, нашел где эти опции замены .hex файла... Спасибо за помощь

Изменено пользователем nkie

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

рано обрадовался...

Циклон почему-то не шьется со встроенной в on-chip программой. Если прошивать Ниос с чистой памятью, то конфигурация прошивается успешно. Все делаю, как описано в посте

http://electronix.ru/forum/index.php?s=&am...st&p=691886

но видимо какие-то настройки все-таки упускаю...Подскажите пожалуйста, нужно ли выполнить еще какие-нибудь действия, для линковки программы во внутричиповую память?

Изменено пользователем nkie

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

пишите конкретно, по шагам: что делали какие настройки, выхлоп консоли. а то на такие вопросы:

Подскажите пожалуйста, нужно ли выполнить еще какие-нибудь действия, для линковки программы во внутричиповую память?

приходит на ум только шаманский бубен с заячьей лапкой.

 

настройки линкера-то нашли?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проблема непрошивки решилась путем установления галочки в свойствах on-chip memory: "Enable non-default initialization file". Теперь циклон прошивается. Проект перешел из стадии "не компилится(не прошивается)" в стадию "тупо не работает" :biggrin:

 

Все завелось, всем спасибо за участие :1111493779:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Помогите пожалуйста nios 9.1 Почему то нет конфигурации hardware в менеджере конфигураций. Ничего не понимаю... Хоть в бубен бей. Раньше не встречал такое.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...