Перейти к содержанию
    

global #define

Здравствуйте.

 

Вопрос заключается в том как сделать макроопределение видимое в разных файлах проекта,

тема в той или иной степени обсуждалась, но ответа с приемлемым вариантом так и не поступило,

в CV например, есть вкладка куда можно вписать глобальные дефайны.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте.

 

Вопрос заключается в том как сделать макроопределение видимое в разных файлах проекта,

тема в той или иной степени обсуждалась, но ответа с приемлемым вариантом так и не поступило,

в CV например, есть вкладка куда можно вписать глобальные дефайны.

не понял, а чем не устраивает закладка в свойствах проекта? и соотв. опция компилятора

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не понял, а чем не устраивает закладка в свойствах проекта? и соотв. опция компилятора

 

А по подробнее ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А по подробнее ?
А полазить по свойствам проекта?

Project->Options->C/C++ Compiler->Preprocessor->Defined symbols

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А полазить по свойствам проекта?

Project->Options->C/C++ Compiler->Preprocessor->Defined symbols

 

В это окошко вписать дефайны ? А дальше ? Дайте пример плиз!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Там вроде нельзя задавать значения дефайнов, т.е. если, например, нужен глобальный аналог

#define SYS_CLK 8000000

то ничего не выйдет...

 

В это окошко вписать дефайны ? А дальше ? Дайте пример плиз!

Вписываешь туда например строчку ENABLE_DEBUG_MODE

 

А в программе

#ifdef ENABLE_DEBUG_MODE
блалала
#else
блаблабла
#endif

#ifndef ENABLE_DEBUG_MODE
бла
#endif

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В это окошко вписать дефайны ? А дальше ? Дайте пример плиз!
Пример чего? Вы хотели "как сделать макроопределение видимое в разных файлах проекта". Вписывайте его сюда, а дальше делайте то же, что вы делали в CV.

Там вроде нельзя задавать значения дефайнов, т.е. если, например, нужен глобальный аналог

#define SYS_CLK 8000000

то ничего не выйдет...

Все выходит. Впишите туда SYS_CLK=8000000. Все, что вписано в это окно передается компилятору в командной строке через ключ -D. Более подробную информацию можно получить в описании на этот ключ.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пример чего? Вы хотели "как сделать макроопределение видимое в разных файлах проекта". Вписывайте его сюда, а дальше делайте то же, что вы делали в CV.

Все выходит. Впишите туда SYS_CLK=8000000. Все, что вписано в это окно передается компилятору в командной строке через ключ -D. Более подробную информацию можно получить в описании на этот ключ.

 

Спасибо всем и в особенности Сергею Борщ!

 

Не получалось из-за того что я писал (в окошке) как в определении дефайна SYS_CLK 8000000 ,а нужно было SYS_CLK=8000000

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...