Перейти к содержанию
    

Методологии построения сред верификации проектов

на мой взгляд только упоминание для утешения

Ну, не могу сказать о себе, что я хорошо знаком с какой-либо из двух методологий, но OVM мне, почему-то, ближе.  :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Запуск первого же примера UVM на VCS 2009.06 закончился неудачей:

 

Error-[UC] Unexpected character
  The character '`' is illegal in the context.
  "../../../src/base/uvm_version.svh", 28 (expanding macro)
  Source info: parameter string uvm_revision = `UVM_VERSION_STRING;

 

Закомментил эту строку - вылетела следующая ошибка:

Error-[STASKEC_USF] Undefined system function
../../../src/base/uvm_queue.svh, 196
  System function call '$sformatf' is not defined.
  Please correct and recompile.

 

Навел справки, оказалось, что это системный вызов 2009-го SV. Видимо придется ставить следующие версии симулятора.

 

Вышеуказанные проблемы временно решил:

1. В файл uvm_version.svh добавил строку - `define UVM_VERSION_STRING "1.0".

2. Все системные вызовы $sformat заменил на $psprintf.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подробно пока не смотрел, но что-нибудь там вообще от VMM есть? :)  

сейчас широко улыбнуло: на сайте Doulos в преамбуле к появившемуся материалу по UVM написано

"True to the spirit of UVM, this tutorial was created by taking an existing tutorial on OVM and replacing the letter "OVM" with "UVM" throughout. Please let us know if you find any inconsistencies!"

LOL

http://www.doulos.com/knowhow/sysverilog/uvm/tutorial_0/

 

кстати, они там уже с пол года как видяшки по технологиям выкладывают, так что кому лень читать, можут смотреть видео-лекции(к сожалению это сложно назвать сколько-нить полным курсом - пойдёт как доп.материал, но зато текстовый материал дост.хороший по всем трём технологиям)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Решил снова взять в руки шашки и поковырять UVM либу, смотрю на uvmworld, а там лежит релиз 1.1 от июня 2011. Неужели почти за год ничего нового не появилось ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Решил снова взять в руки шашки и поковырять UVM либу, смотрю на uvmworld, а там лежит релиз 1.1 от июня 2011. Неужели почти за год ничего нового не появилось ?

вот жеж бл...во, OVM хорошо работал даже под 6.4с, а этому не ниже 6.6е подавай %(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Решил снова взять в руки шашки и поковырять UVM либу, смотрю на uvmworld, а там лежит релиз 1.1 от июня 2011. Неужели почти за год ничего нового не появилось ?

uvm1.1a за 30.11.2011

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Решил снова взять в руки шашки и поковырять UVM либу, смотрю на uvmworld, а там лежит релиз 1.1 от июня 2011. Неужели почти за год ничего нового не появилось ?

 

Много чего появляется, только все эти проекты коммерческие и стоят денег. Чтобы делать серьезные дизайны и их верификацию, обычно мало "поковырять" либу. Те инженеры, которые это хорошо умеют делать стоят в Калифорнии $100-130k :laughing:

 

SOC Microsystems тоже продает products на их основе - http://socmicro.com/products/for-chip-designers/ovy-verifier

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Много чего появляется, только все эти проекты коммерческие и стоят денег. Чтобы делать серьезные дизайны и их верификацию, обычно мало "поковырять" либу. Те инженеры, которые это хорошо умеют делать стоят в Калифорнии $100-130k :laughing:

 

SOC Microsystems тоже продает products на их основе - http://socmicro.com/products/for-chip-designers/ovy-verifier

судя как раз по тому, что основные вендоры пошли в UVM, основанном на OVM, то проекты можно делать серьезные. Вот как раз для этого и нужно "ковырять", смотря как она устроена. Когда разбирался с OVM, документации на нее почти не было, приходилось реверсить сорцы, что бы понять что к чему. Сейчас эту проблему решили, судя по диагональному просмотру идущей в комплекте доки, все не так загадочно, но тем не менее интересно посмотреть стиль и мышление разрабочиков пакета.

 

ИМХО UVM один из немногих, качественных, бесплатных продуктов который легко доступен. Не использовать его для изучения опыта глупо. А надстройки над бесплатными либами были и будут, не все конторы могут себе позволить держать в штате хорошего верификаторщика ;)

 

ценник достаточно интересный, забросить матлаб и RTL и пойти в верификаторщики что ли ...... %)

 

uvm1.1a за 30.11.2011

Спасибо, скачал, курю. Зря все таки они добавили часть DPI и ушли на более старшие версии софта %(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...