Sl.Hunter 0 Posted July 5, 2008 · Report post Порылся на форуме нашёл тему: http://electronix.ru/forum/index.php?showtopic=41839, но рабочегго кода, того что мне надо нет. Суть в том, что бы как то организовать ввод/вывод по одной и той же линии, это всё надо для контролёра PCI. Сделать как то подругому не представляю как! Если есть идеи поделитесь! Quote Ответить с цитированием Share this post Link to post Share on other sites
makc 0 Posted July 5, 2008 · Report post Предположим, что у Вас есть шина адреса/данных, описанная в entity следующим образом: PCI_AD : inout std_logic_vector(31 downto 0); Есть внутренний регистр (или шина), на которой находятся данные для выдачи на шину PCI: signal iDOUT : std_logic_vector(31 downto 0); И есть внутренний сигнал, по которому из внутреннего регистра (или внутренней шины) на шину PCI должны выдаваться данные: signal iOE : std_logic; Тогда для организации выдачи данных на шину Вам достаточно написать следующее: PCI_AD <= iDOUT when iOE='1' else (others => 'Z'); И реализовать корректное формирование данных на шине iDOUT и значения сигнала iOE. PS: Скачайте на opencores.org реализацию ядра интерфейса шины PCI и посмотрите, как там это сделано. Quote Ответить с цитированием Share this post Link to post Share on other sites