Перейти к содержанию
    

Моделирование проектов из 2х и болле ПЛИС

Собственно SUBJ.

 

Есть два кристала CPLD и FPGA. Надо промоделировать взаимодействие этих двух микросхем с временными задержками (задержками распространения сигналов по плате можно пренебречь). Из софта: ISE 6.2, Modelsim PE 6.0c. Такое возможно этим софтом? Если нет, то каким? И где его можно взять?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Помойму это делается. При трансляции в ISE генеритятся файлы для временного моделирования (файл разведенной таблетки vhd и файл временных задержек sdf). Дальше в моделсиме создаем тестбенч в котором объединяем две таблетки и прописываем внешние воздействия. Запускаем диалог моделирования, указаваем сгенеренные ISE sdf файлы для прописанных в тестбенче инстанций архитектур наших таблеток и старт моделирования.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

kas

А зачем это делать ?

IMHO, RTL уровень и моделируется на несколько порядков быстрее и удобней для наблюдения.

А контроль временных соотношений, IMHO, проще всёже по анализу задержек ручками. Их обычно в проекте не так много.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

kas

А зачем это делать ?

IMHO, RTL уровень и моделируется на несколько порядков быстрее и удобней для наблюдения.

А контроль временных соотношений, IMHO, проще всёже по анализу задержек ручками. Их обычно в проекте не так много.

 

Писать вручную временную модель воздействия/отклика одного и другого устройства сложно, долго и велик шанс ошибиться.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дальше в моделсиме создаем тестбенч в котором объединяем две таблетки и прописываем внешние воздействия.

 

Как это сделать? Написать тестбенч в котором используются несколько модулей из одного проекта я примерно пердставляю. А вот как для двух разных проетов? Можно про это подробнее. А если ссылка на литературу будет то вообще здорово!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возможно буду неточен, ихмо делал енто всего один раз. Пишу на vhdl поэтому сказанное при других способах описание не делал. Есть топ архитектура одной таблетки - top1.vhd, есть топ другой - top2.vhd. В ISE в пласе-анд-роут есть Generate Post-Place & Route Simulation Model. Оно генерит файл (top1_timesim.vhd/top2_timesim.vhd) который содержит описание нашей топ архитектуры после полной трассировки по таблетке с описанием рассчитанных задержек соединений между элементами логических блоков и файл (top1_timesim.sdf/top2_timesim.sdf) содержащий задержки при прохождении сигналов через элементарные логические элементы из которых собрана схема (по крайней мере я так понимаю ...). Далее пишем модуль в котором объединяем данные файлы аналогично соединению их по печатной платы и генерим необходимые внешние сигналы (clk например).

В диалоге моделлирования моделсима определяем для областей таблетки1(2) полученные sdf файлы и моделлируем.

Можно еще заложит рассчетное значение задержек обусловленных трассировкой печатной платы. Вобщем на сколько я понимаю это максимально возможное средствами ISE/ModelSim временное моделлирование, больше получить нельзя...

В ISE наверняка есть какой-нить пдфник посвященные этому вопросу, но не искал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Почитай мой топик здесь

http://forum.electronix.ru/index.php?showtopic=3644

 

А моделсим какой?

У меня PE 6.0с. И вот что он мне говорит.

 

help comp

# Ambiguous command name "comp": compare add, compare annotate, compare clock, compare configure, compare continue, compare delete, compare end, compare info, compare list, compare options, compare reload, compare reset, compare run, compare savediffs, compare saverules, compare see, compare start, compare stop, compare update

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...