Перейти к содержанию
    

Генератор сигнала на плис

В принципе у меня зрел вопрос - вот есть у меня глобальный сигнал - тот же GCLKn например, и допустим я завёл его снаружи на лапу - в моём случае когла я пытаюсь полученный нехитрыми манипуляциями назначит его глобальным - я можно сказать держу его в руках - могу потом использовать в коде. А вот как быть когда я завёл глобальный клок снаружи - как мне за него ухватится ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В принципе у меня зрел вопрос - вот есть у меня глобальный сигнал

Вот я читаю всю Вашу переписку и никак не могу понять: Это у Вас такая игра или это для дела.

Если поиграть, то зачем столько постов бомбить, ведь когда играешь, чем дольше, тем лучше...

 

А если для дела, то где в даташите Вы нашли разрешение на использование внутренних ресурсов в аналоговом режиме? Для 74 серии это было прописано и разрешено. А для ПЛИС Altera я такого разрешения не встречал. Да и завелась ведь только одна микросхема и только у Вас на столе. А при минусовых температурах, а при плюсовых???

Как будете считать надежность работы устройства, если Вы используете неразрешенные режимы? Или у Вас оно так: сделал, продал, забыл...

Вот с этого вопроса и надо бы начать...

Пишу не из вредности, просто примерно раз или два в год, рационализаторы начинают бомбить конференцию письмами о том, как они делали генератор или триггер шмидта в ПЛИС...

Так что вот!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 iosifk - я вас в какой то мере понимаю. Так что объясню - это не и гра да и не на продажу, это для себя - мне не особо важно покичится что я вот заделал такие вот вещи как генератор или тот же триггер Шмидта - я просто хочу разобраться. Сначала разбирался в графике - там было всё понятно -что куда заводить, что откуда берётся - да и не сильно сложное делал. Потом посоветовали всё таки освоить ВХДЛ и вникнуть глубже в ПЛИС - чем макетирование БДФ-файлами. Естественно возникают вопросы.Сильно помогает перевод графики с картинок на ВХДЛ средствами вартуса - не задаю ведь совсем глупых вопросов(ну или стараюсь..). Да и как вы могли заметить вопросы с чисто рационализаторсокого аспекта, коим они я считаю обладали на начале, стали приобретать другой оттенок.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вот как быть когда я завёл глобальный клок снаружи - как мне за него ухватится ?

Для конкретной микросхемы конкретный пин является GCLK. Когда Вы делаете присвоение сигналам пинов, то присвойте. Это называется что-то типа Assign Package Pin для ISE WebPack, не знаю как у Вас.

PS. 3-х инверторная схема со сбросом запускается всегда. Я ее применял еще на TTL (155 серии и т.д) лет 25 назад и позже тоже. :biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 alexander55 - спасибо.

 

А вот на счёт 19.12.2007 10:35 - есть какие нибудь идеи ? Что я не так сделал ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 alexander55 - спасибо.

 

А вот на счёт 19.12.2007 10:35 - есть какие нибудь идеи ? Что я не так сделал ?

Мое мнение в посте 30.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А если для дела, то где в даташите Вы нашли разрешение на использование внутренних ресурсов в аналоговом режиме?...

Просто интересно стало - какие последствия могут быть? Возможен срыв генерации?

В проекте, где я использовал подобный генератор, частота практически не имеет значения - будь дам 50 или 100Мгц это не повлияет на работу ни коим образом,даже если частота будет плавать в процессе работы. Примененное мной решение(генератор на 1 lcell) прошло испытания в полевых условиях(по регламенту аппаратура прогревается и сушится вентиляторами перед использованием).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Просто интересно стало - какие последствия могут быть? Возможен срыв генерации?

С тремя инверторами никогда. С одним бывает не запускается.

От такого генератора требовать выдерживания параметров генерации нельзя. Его можно использовать только для цепей где параметры генератора не важны.

Еще одно соображение. Наружу за пределы микросхемы эту частоту лучше не выпускать (частота высокая и могут возникнуть проблемы с ЭМС).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В обсчем получил я ответ с саппорта по моему вопросу - пишу сдесь чтоб если у кого возникнут похожие вопросы- смог их побороть а не закинуть как страшный сон(как тут некоторые рекомендовали) - при чём америкосам мой вопрос почему то не показался странным - назначить сигнал глобальным клоком можно приблизительно 2(3) путями:

1) примитивом GLOBAL, но как пишут товарисчи - это не есть constraint - оно только показывает что использован global buffer, и собсно потому в Assignment Editor"е его не видно. А в ChipPlanner"е низя увидеть глобальные ресурсы - токо нъансы так сказать.. Но более полную инфу как и советовал sazh отправили искать в Compilation Report -> Fitter -> Resource Section -> Global & Other Fast Signals.

Тут я так понимаю надо бу просто на реальном железе попробовать чтоб понимание пришло.

2) TCL-метода - set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to sig4 - и будет счастие - sig4 сразу появится в Assignment Editor"е и будет у меня глобальным клоком.

3) Атрибутный - чем то схож с TCL-методой - та в принципе одно и тож - токо атрибутный - это в VHDL-коде -

attribute altera_attribute : string;

attribute altera_attribute of sig4: signal is "-name GLOBAL_SIGNAL ON";

Вот - и будет счастие тож - результат говорят аналогичный TCL-методе.

 

TCL - проверял а вот на счёт аттрибутов - нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Вашем случае вообще не нужен примитив global.

После примитива lcell с установками по умолчанию Ваш клок ложиться на Global Clock Network

Gclk3

Если внешний клок подается на специализированные входы. он по умолчанию будет использовать глобальный ресурс.

Естественно все надо проверять по рапортам.

Если ресурсы глобал полностью не задействованы внешними сигналами, ресурсами гдобал может воспользоваться лбщй сигнал порожденный триггером.

Это можно задать в описании явно ( как Вы сделали), а можно ресурсами редактора ассигнований пакета.

Вариантов море. Не надо на этом зацикливаться.

Заодно имеет смысл забыть о такой реализации.

Потому как видимо без внешнего сигнала не обойтись. Следовательно надо контролировать

временной интервал конфигурации и инициализации кристалла по включению питания (FPGA) для

гарантированной начальной установки вашего генератора.

Это дополнительные внешние логические ресурсы.

Игра не стоит свечь. Сейчас на любой барахолке мешками выкусанные генераторы с PC

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...