Перейти к содержанию
    

Не могли бы Вы выложить где-нибудь или отправить мне это ядро с документацией. Что-то на опенкорес не могу его найти.

Какой PHY используете?

тут посмотрите (внизу).

PHY пробовал KSZ8041 и LAN8700

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый вечер уважаемые гуру Alter'ы и Nios II.

 

Есть Cyclone III 3c120, после долгих мучений был найден пример для Ethernet с использованием Triple Speed Ethernet, успешно сгенерировал в Sopc Builder и скомпилировал проект SSS в Nios II IDE 9.0 SP1.

 

Имеется следующий вопрос:

Достаточно ли будет создать .bdf со сгенерированным Nios и распиновкой ножек на соответствующие входы\выходы, чтобы запустить пример на плате?

Или к элементам типа ddr2_sdram придется городить еще что-то для их работы?

 

Просто все кажется слишком простым при использовании примера, чтобы запустить ethernet на плате.

А какого-нибудь user guide или мануала для запуска ethernet на CIII нет =(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Имеется следующий вопрос:

Достаточно ли будет создать .bdf со сгенерированным Nios и распиновкой ножек на соответствующие входы\выходы, чтобы запустить пример на плате?

Или к элементам типа ddr2_sdram придется городить еще что-то для их работы?

этого достаточно, если все влезает в on-chip. но лучше повозиться, добавить контроллер DDR, чтобы не париться насчет объема памяти. а плата стандартная? если кит, то можно взять готовый пример, там уже все собрано.

 

Просто все кажется слишком простым при использовании примера, чтобы запустить ethernet на плате.

А какого-нибудь user guide или мануала для запуска ethernet на CIII нет =(

а какого рода мануал нужен?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

этого достаточно, если все влезает в on-chip. но лучше повозиться, добавить контроллер DDR, чтобы не париться насчет объема памяти. а плата стандартная? если кит, то можно взять готовый пример, там уже все собрано.

 

а какого рода мануал нужен?

 

К сожалению, еще не совсем понимаю, что такое kit, может это кастрированный вариант самой платы?

 

Сама плата Cyclone III 3с120F780C7.

В примере Sopc Builder есть контроллер DDR, в котором весь софт содержится. Просто для Cyclone II есть примеры в examples и tt_nios2_tcpip.pdf, а для CIII пришлось долго искать хоть что-нибудь(с примером веселее дело идет).

 

Надеюсь, из pdf для CII можно будет взять ход действий для проверки работоспособности.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

К сожалению, еще не совсем понимаю, что такое kit, может это кастрированный вариант самой платы?

 

Сама плата Cyclone III 3с120F780C7.

В примере Sopc Builder есть контроллер DDR, в котором весь софт содержится. Просто для Cyclone II есть примеры в examples и tt_nios2_tcpip.pdf, а для CIII пришлось долго искать хоть что-нибудь(с примером веселее дело идет).

 

Надеюсь, из pdf для CII можно будет взять ход действий для проверки работоспособности.

вот этот кит :

http://www.altera.com/products/devkits/altera/kit-cyc3.html

?

примеры тут

 

если нужен полноценный TCP/IP имеет смысл посмотреть в сторону готовых ОСей, например

http://nioswiki.com/index.php?title=Linux&...highlight=linux

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

вот этот кит :

http://www.altera.com/products/devkits/altera/kit-cyc3.html

?

примеры тут

 

если нужен полноценный TCP/IP имеет смысл посмотреть в сторону готовых ОСей, например

http://nioswiki.com/index.php?title=Linux&...highlight=linux

 

Все теперь понял, что такое kit ) именно так и выглядит.

 

За примеры тоже огромное спасибо, пытался открыть этот ftp через total, но почему-то папка outgoing была пуста.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Интересует 2 вопроса:

 

1. Компонент Lan91C111 в Sopc Builder симулирует эту же микросхему(сам отрабатывает на физическом и mac уровне) или является интерфейсом(набором pio) для микросхемы, которая сама все делает и только передает данные в Nios?

 

2. Flash память на dev kit Cyclone III работает сама или через max II?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Интересует 2 вопроса:

 

1. Компонент Lan91C111 в Sopc Builder симулирует эту же микросхему(сам отрабатывает на физическом и mac уровне) или является интерфейсом(набором pio) для микросхемы, которая сама все делает и только передает данные в Nios?

 

2. Flash память на dev kit Cyclone III работает сама или через max II?

1. это Avalon-MM интерфейс к внешнему MAC+PHY. что-то типа Tristate Bridge`а

2. сама, на MAX II сделан USB-Blaster

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тут вопросик появился (начал потихоньку ковырять ) по eth_ocm_80_3. А в частности есть значит в портах MII такое объявление:

//MII TX

input mtx_clk_pad_i,

output [3:0] mtxd_pad_o,

output mtxen_pad_o,

output mtxerr_pad_o,

В описании к корке сказано, что проверено с

3.The National Semiconductor DP83848C 10/100 PHY (used on the Cyclone III embedded development kit).
однако на физике DP83848 в упор нету лапы mtxerr - там только клок/данные и энабл (в KSZ8041 - аналогично)... Её просто не трогать или как ? :smile3046:

с MII RX частью - все лапы сходятся с теми что есть в наличии в физике :blink:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тут вопросик появился (начал потихоньку ковырять ) по eth_ocm_80_3. А в частности есть значит в портах MII такое объявление:

 

В описании к корке сказано, что проверено с однако на физике DP83848 в упор нету лапы mtxerr - там только клок/данные и энабл (в KSZ8041 - аналогично)... Её просто не трогать или как ? :smile3046:

с MII RX частью - все лапы сходятся с теми что есть в наличии в физике :blink:

 

 

:biggrin: "скрипач не нужен" (ц). эта нога только для тестов может понадобиться. на lan8700 в одном из режимов она есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

практически нет. код драйвера там почти один-в-один с альтеровским (я писал свой, урезанный вариант, поэтому в основном выбрасывал). сама корка мне больше чем альтеровская нравится, там DMA-контроллеры прямо в нее встроены. просто один модуль в SOPC добавлеяшь eth_ocm и все. в альтеровской же (не знаю как сейчас) надо 3 компонента добавлять - tse, и 2 sgdma. hdl-код я не трогал. да, регистров там поменьше конфигурационных. но это скорее плюс :)

чуть не забыл, дока там в комплекте убогая, надо брать от опенкорковского контроллера (автор igor mohor), там все расписано.

 

Начал мучать Ethernet y cyclone III dev kit, оказалось, что память DDR2 не подключена еще.

Попробовал TSE, оказался очень прожорлив и не влез по памяти.

 

Хочу попробовать OpenCores 10/100 Ethernet MAC with Avalon Interface от Jakob Jones (eth_ocm)

 

Хотелось бы узнать, куда именно прописывать Is_Ethernet_Mac = “1” to the WIZARD_SCRIPT_ARGUMENTS в ptf файле.

 

И еще: DDR2 у меня не подключена, поэтому использую on-chip. Сколько памяти минимально нужно отвести под eth_ocm?(для буфера видимо)

 

Можно ссылку на опенкорковского контроллера (автор igor mohor)?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нашел решение проблемы, почему не компилился пример из kit:

QII 8.0 не поддерживает пример tse для QII 8.1 и QII 9.0 SP1 ведет себя неадекватно на vista.

 

Успешно скомпилил проект с tse, правда есть вопрос:

post-44580-1256576667_thumb.jpg

 

для работы в 100 mbps необходимо завести 25 Мгц на tx и rx, но в sopc builder clk для всех один - 100 Мгц, с pll завести можно на rx\tx 25 Mhz, но как это отразить в sopc builder?

И куда сигналы ena_10, eth_mode заводить?

 

был бы рад услышать все предложения.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И куда сигналы ena_10, eth_mode заводить?

 

Что-то поспешно все делаю, дочитал до ena_10 и eth_mode - сигналы задают режим работы ethernet - 10, 100 или gigabit.

Все описание есть в ug на triple speed.

 

Не нашел как отредактировать сообщение. надеюсь объединят, дабы не флудить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Начал мучать Ethernet y cyclone III dev kit, оказалось, что память DDR2 не подключена еще.

Попробовал TSE, оказался очень прожорлив и не влез по памяти.

 

Хочу попробовать OpenCores 10/100 Ethernet MAC with Avalon Interface от Jakob Jones (eth_ocm)

И еще: DDR2 у меня не подключена, поэтому использую on-chip. Сколько памяти минимально нужно отвести под eth_ocm?(для буфера видимо)

 

Можно ссылку на опенкорковского контроллера (автор igor mohor)?

ссылка вот http://www.opencores.org/project,ethmac

по памяти они, ЕМНИП, примерно одинаково занимают, в опенкорковском просто буферы уже в модуль завернуты. я делал по 1кБайт(1 блок в циклоне3). если планируется большая нагрузка, то приемный буфер можно увеличить.

 

Нашел решение проблемы, почему не компилился пример из kit:

QII 8.0 не поддерживает пример tse для QII 8.1 и QII 9.0 SP1 ведет себя неадекватно на vista.

 

Успешно скомпилил проект с tse, правда есть вопрос:

 

для работы в 100 mbps необходимо завести 25 Мгц на tx и rx, но в sopc builder clk для всех один - 100 Мгц, с pll завести можно на rx\tx 25 Mhz, но как это отразить в sopc builder?

И куда сигналы ena_10, eth_mode заводить?

 

был бы рад услышать все предложения.

txc и rxc заводятся с PHY.

сигналы ena_10, eth_mode опциональны

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Надо ли через pll пропускать для задания фазы(clock phase shift)?

 

И получается надо ставить делитель, если нужна частота отличная от 25mhz для работы в режиме 10mbit(2.5) или gigabit(125)?

или он сам каким-то неведомым способом подбирает частоту в зависимости от установленного соединения?

Изменено пользователем dim99

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...