Перейти к содержанию
    

Здравствуйте,

хочу сделать устройство: Ethernet (10/100) + CycloneII + NiosII.

Подскажите пожалуйста,

на какую корку (TCP/IP) и соответствующую ей аппаратную часть обратить внимание

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте,

хочу сделать устройство: Ethernet (10/100) + CycloneII + NiosII.

Подскажите пожалуйста,

на какую корку (TCP/IP) и соответствующую ей аппаратную часть обратить внимание

 

Могу подсказать, что на отладочной плате NIOSII CyclonII Edition есть Ethernet. Так что даже если самой платы нет, то можно глянуть на альтеровские примеры веб-сервера.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...на отладочной плате NIOSII CyclonII Edition есть Ethernet...

спасибо посмотрел,

поиск от туда мне и надо было начинать…

похоже, этого будет достаточно для закрытия темы

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

подскажите, кто-нибудь решал такую задачу на базе Davicom?

например у terasic DE2 есть DM9000A, но можно ли ее ip-core применить в собственном проекте

(да и микросхему не просто достать), или лучше идти по пути LAN91C111?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

подскажите, кто-нибудь решал такую задачу на базе Davicom?

например у terasic DE2 есть DM9000A, но можно ли ее ip-core применить в собственном проекте

(да и микросхему не просто достать), или лучше идти по пути LAN91C111?

Могу предложить Micrel KSZ8841/2. Описания на русском - у меня на сайте, в разделе "статьи"...

Лежат на складе...

На них Метроком успешно делает свои приборы... Так что есть у кого посмотреть драйвера...

Будут вопросы - пишите.

Удачи!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...Будут вопросы - пишите.

Удачи!

 

Спасибо за помощь,

По данной теме появился обозревательный семинар:

"Implementing Flexible Industrial Ethernet Solutions":

 

http://www.altera.com/education/webcasts/a...industrial.html

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте,

хочу сделать устройство: Ethernet (10/100) + CycloneII + NiosII.

Подскажите пожалуйста,

на какую корку (TCP/IP) и соответствующую ей аппаратную часть обратить внимание

тоесть стоит задача реализовать подержку TCP/IP в железе а не в софте?

или всё таки в железе как здесь

тут вот исходники можно взять если всё таки в железе

 

подскажите, кто-нибудь решал такую задачу на базе Davicom?

например у terasic DE2 есть DM9000A, но можно ли ее ip-core применить в собственном проекте

(да и микросхему не просто достать), или лучше идти по пути LAN91C111?

давиком9000 и лан91 это MAC уровень. о каком ip-core идёт речь?

на самом деле какой MAC вы собрались использовать всё равно, лишь бы доставаем был и доки были

а TCP/IP всё же стоит реализовать средствами ниоса, тоесть софтверно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

тоесть стоит задача реализовать подержку TCP/IP в железе а не в софте?

лучше я думаю в софте (изначально задача: объединить плис и инет; и после предварительного знакомства, с новой для меня темой, я решил что удобнее всего реализовать в ниосе)

 

давиком9000 и лан91 это MAC уровень. о каком ip-core идёт речь?

я посчитал, что компоненты добавляемые в sopc builder (для связи ниоса и лан91) это и есть ip-core, я не прав?

 

на самом деле какой MAC вы собрались использовать всё равно, лишь бы доставаем был и доки были

именно об этом я и хотел получить информацию создавая тему.

стыковка МАК и Ниос мне не ясна...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

лучше я думаю в софте (изначально задача: объединить плис и инет; и после предварительного знакомства, с новой для меня темой, я решил что удобнее всего реализовать в ниосе)

я посчитал, что компоненты добавляемые в sopc builder (для связи ниоса и лан91) это и есть ip-core, я не прав?

именно об этом я и хотел получить информацию создавая тему.

стыковка МАК и Ниос мне не ясна...

для того чтобы связать проц(ниос) с лан91 нужно почитать про tristate avalona bridge в handbook-e

там всё ясно и просто написано...почти русским по белому :)

вообщем лан91 будет для ниоса как memory mapped устройства вот и все дела

 

з.ы. доки почитайте :smile3046:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...Описания на русском - у меня на сайте, в разделе "статьи"...

Очеть полезная информация. Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очеть полезная информация. Спасибо!

ага полезная, особенно про мак адрес длиной 3 байта :07:

дело ваше конечно, но лучше на англ читать доки :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чтоб не открывать новую тему пишу здесь.

В наличии CycloneII_PCI_Kit-v6.0.1. Необходимо реализовать на ней прием иформации по ethernet с помощью утановленного на ней

lan91c11(аппаратные MAC+PHY)

Что я делаю

1 - создал проект в квартусе.

2 - в SOPC Builder создаю систему с следующими компонентами - cpu_0, pio_0, pio_1, onchip_memory, tri_state_bridge_0, lan91c111_0

3 - Сгенерировал систему, открываю NIOS II IDE

4 - Выбираю простенький проект hello_led_0, успешно компилирую.

Теперь вопрос - как добавить сюда прием из ethernet ???

в квартусе есть HAL драйвера altera_avalon_lan91c111 как их испеользовать?.

NIOS II handbook читал, пока не помогло(((

Срочно нужно это дело запустить и в режиме эмуляции посмотреть работу. Помогите, пожалуйста.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если хочется быстро запустить и поглядеть - надо из примеров запускать. Но опять же "если", если в примерах это быть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чтоб не открывать новую тему пишу здесь.

В наличии CycloneII_PCI_Kit-v6.0.1. Необходимо реализовать на ней прием иформации по ethernet с помощью утановленного на ней

lan91c11(аппаратные MAC+PHY)

Что я делаю

1 - создал проект в квартусе.

2 - в SOPC Builder создаю систему с следующими компонентами - cpu_0, pio_0, pio_1, onchip_memory, tri_state_bridge_0, lan91c111_0

3 - Сгенерировал систему, открываю NIOS II IDE

4 - Выбираю простенький проект hello_led_0, успешно компилирую.

Теперь вопрос - как добавить сюда прием из ethernet ???

в квартусе есть HAL драйвера altera_avalon_lan91c111 как их испеользовать?.

NIOS II handbook читал, пока не помогло(((

Срочно нужно это дело запустить и в режиме эмуляции посмотреть работу. Помогите, пожалуйста.

1. Не знаю как на Вашем ките, но у меня на DK-NIOS-2S60N было два примера "Simple Sockek Server", а также "Web Server". С них надо начать изучение Ethernet-100, а после переходить к своим вещам и кодам.

2. Есть несколько готовых разработок, которые могут помочь, что в altera component->NiosII Software Packeges, это:

- altera_iniche

- altera lwip

для последнего light weight IP (lwip) сбрасываю инфу в приложении. Получил ее от одного хорошего человека из Финляндии, по имени Matti Malmstedt.

3. Можно попытаться написать драйвера для lan91c111 самому, кстати, я так и поступил, что так же имеет свои преимущества. Пока скорость 31Мбит/с для UDP.

Удачи.

 

К модераторам: Похоже, что тему эту целесообразно перенести в раздел для SOPC

web_versio.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Не знаю как на Вашем ките, но у меня на DK-NIOS-2S60N было два примера "Simple Sockek Server", а также "Web Server". С них надо начать изучение Ethernet-100, а после переходить к своим вещам и кодам.

2. Есть несколько готовых разработок, которые могут помочь, что в altera component->NiosII Software Packeges, это:

- altera_iniche

- altera lwip

для последнего light weight IP (lwip) сбрасываю инфу в приложении. Получил ее от одного хорошего человека из Финляндии, по имени Matti Malmstedt.

3. Можно попытаться написать драйвера для lan91c111 самому, кстати, я так и поступил, что так же имеет свои преимущества. Пока скорость 31Мбит/с для UDP.

Удачи.

 

К модераторам: Похоже, что тему эту целесообразно перенести в раздел для SOPC

 

Спасибо, полезный файл) попробовал по инструкции запустить, на файле С:\altera\quartus60\sopc_builder\components\altera_avalon_lan91c111\HAL\src\altera_avalon_lan91c11\.c выдается ошибка use of cast expressions as lvalues is depricated

не пойму в чем дело?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...