Перейти к содержанию
    

Libero IDE v5.2

Есть, ли у Actel примеры проектов как у xilinx? например?

А я что-то не найду :cranky: . Какие - нибудь простенькие триггер, и т.д.

Интересует схематик и VHDL (без Synplify он может сам синтезировать).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Схемотехникой не пользуюсь, но с их схемным вводом игрался, очень не понравился редактор. Для схем попробуйте DesignView с установленным китом для actel, на много удобнее view draw(библиотека примитивов в ките).

Рекомендую управлять проектом из ahdl, в последней версии сильно изменен менеджер, так что ни какой ручной работы не потребуется.

Для освоения примитивов на диске с документацией имеются файлы с их описанием, точное название раньше понедельника не скажу.

Я немного не понял на счет synplify, это как?

Примеры использования можно получить на выходе программы actgen, которая генерит что-то на подобие альтеровских лпм, только нетлистом и не параметризируемые.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если у вас есть диск с документацией, то папке EXEs лежат самораспаковывающиеся примеры, в т.ч. графика.

Описание макросов в папке pdf/papluc_macguide.pdf.

Так же описание макросов [LIBERO]|[DESIGNER]/doc/pa_libguide.pdf(flash)

[LIBERO]|[DESIGNER]/doc/libguide.pdf(antifuse)

Если чего-то нет, говорите, положу на фтп. или посмотрю ссылки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если у вас есть диск с документацией, то  папке EXEs лежат самораспаковывающиеся примеры, в т.ч. графика.

Описание макросов в папке pdf/papluc_macguide.pdf.

Так же описание макросов [LIBERO]|[DESIGNER]/doc/pa_libguide.pdf(flash)

[LIBERO]|[DESIGNER]/doc/libguide.pdf(antifuse)

Если чего-то нет, говорите, положу на фтп. или посмотрю ссылки.

А какой диск? :cranky: У меня есть от Actel.ru май2004 это он?.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Схемотехникой не пользуюсь, но с их схемным вводом игрался, очень не понравился редактор. Для схем попробуйте DesignView с установленным китом для actel, на много удобнее view draw(библиотека примитивов в ките).

Рекомендую управлять проектом из ahdl, в последней версии сильно изменен менеджер, так что ни какой ручной работы не потребуется.

Для освоения примитивов на диске с документацией имеются файлы с их описанием, точное название раньше понедельника не скажу.

Я немного не понял на счет synplify, это как?

Примеры использования можно получить на выходе программы actgen, которая генерит что-то на подобие альтеровских лпм, только нетлистом и не параметризируемые.

 

Если можно, укажи ссылку на DesignView, какие у него удобства по сравнению с ViewDraw?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

DesignView входит в состав пакетов WG и ISD.

www.mentor.com или на фтп.

Отличие скорее всего связано с тем, что я уже привык к dv. Не удобно вставлять компонент в схему и рисовать соединения между ними, а тем более их редактировать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

DesignView входит в состав пакетов WG и ISD.

www.mentor.com или на фтп.

Отличие скорее всего связано с тем, что я уже привык к dv. Не удобно вставлять компонент в схему и рисовать соединения между ними, а тем более их редактировать.

 

Не подскажешь как у ментора попасть на сервер для выкачки демо/evaluation

версий? Охота попробовать DesignView.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Перед тем как качать убедитесь, что оно вам действительно нужно.

Т.к. вероятнее всего пользоваться схемным вводом не прийдется.

_http://www.mentor.com/products/pcb/expedition/evaluation_software.cfm

 

Если вас интересует IDE для actel, то лучше обратите внимание на AHDL 6.3.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Рекомендую управлять проектом из ahdl, в последней версии сильно изменен менеджер, так что ни какой ручной работы не потребуется.

 

Не получается заставить совместно работать AHDL 6.2 и Actel Libero IDE 6.0

Сначала AHDL пишет, что среда не установлена, потом, что нету каких-то файлов.

Подскажите, пожалуйста как заставить synplify из libero работать через AHDL.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В окне Flow Configuration Settings установить:

1. HDL Synthesyis

Synplicity Synplify (Pro) 7.x.

Location - путь к директории bin synplify.

2. Iplementation

Actel Designer v5.x

Location - путь к директории bin Designer(Libero).

3. Family - выбрать нужное семейство микросхем.

 

И далее локальные настройки пунктов 1 и 2.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В окне Flow Configuration Settings установить:

1. HDL Synthesyis

  Synplicity Synplify (Pro) 7.x.

  Location  - путь к директории bin synplify.

2. Iplementation

  Actel Designer v5.x

  Location - путь к директории bin Designer(Libero).

3. Family - выбрать нужное семейство микросхем.

 

И далее локальные настройки пунктов 1 и 2.

 

В пункте 1:

Устанавливаю путь C:\Libero\Synplify\Synplify_771B\bin

А он мне красной строкой пишет, что synplify.exe не найден в каталоге

C:\Libero\Synplify\Synplify_771\bin

Причем отличаются пути всего лишь одной буквой В после 771

 

Ну я переписал каталог Synplify по новому пути - все вызывается и компилится... Может по-нормальному можно сделать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В окне Flow Configuration Settings установить:

1. HDL Synthesyis

  Synplicity Synplify (Pro) 7.x.

  Location  - путь к директории bin synplify.

2. Iplementation

  Actel Designer v5.x

  Location - путь к директории bin Designer(Libero).

3. Family - выбрать нужное семейство микросхем.

 

И далее локальные настройки пунктов 1 и 2.

 

В пункте 1:

Устанавливаю путь C:\Libero\Synplify\Synplify_771B\bin

А он мне красной строкой пишет, что synplify.exe не найден в каталоге

C:\Libero\Synplify\Synplify_771\bin

Причем отличаются пути всего лишь одной буквой В после 771

 

Ну я переписал каталог Synplify по новому пути - все вызывается и компилится... Может по-нормальному можно сделать?

может быть все дело в переменных окружения ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

может быть все дело в переменных окружения ?

 

Может быть. А кто это такие?

Где их можно исправить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...