Перейти к содержанию
    

Рисовалку тестбенч - на помойку?

В свое время я отказался от отмороженного схемного редактора из ISE и очень доволен. Недавно и рисовалка тестбенчей окончательно вывела меня из себя. Уважаемые плисоводы-гуру, нужен совет. Мне кажется, что сигналы можно описывать и на vhdl. А в этой рисовалке более-менее сложный файл создать практически невозможно. Является ли описание входных воздействий в текстовом виде общепринятой практикой или это мои капризы? Если да, то особо интересует вопрос: можно ли входной сигнал поставить в зависимость от выходного? Особо буду благодарен за какой-нибудь пример. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Никогда не пользовался ни схемным редактором, ни рисовалкой тестбенч из ISE, и не жалею об этом. А на счет зависимости входного сигнала от выходного, так писать надо так же как схему проектировать на VHDL (Verilog), т.е. конечно можно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Эта рисовалка годится для совсем простых случаев. Например счетчик смоделировать. А для всего остального надо писать testbench.

 

На FTP в разделе литературы я видел книжку о том как их писать.

Writing Testbenches_2nd_Edition.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставьте Active-HDL или ModelSim и пишите тест бенчи ручками, это самое правильное, а примеров там(в пакетах) куча в Active-HDL Language Assistant не плохой имеется

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо всем откликнувимся. Особо радует, что мысль моя развивается в правильном направлении. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...