Перейти к содержанию
    

У меня всё на столе, поэтому сложности с прокси для меня чужды :) Хотя, может скоро дорасту до момента, когда целевая платформа будет воткнута в удаленный сервер. Но даже в этом виде мне сложно представить, что я буду через терминал править исходники. Скорей всего на рабочей машине останутся все привычные тулзы, а на сервер буду закидывать готовые исходники, и пусть он их пережевывает и плавит в железо. По крайней мере, так мне видится это сейчас через розовые очки :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

26 minutes ago, OparinVD said:

У меня всё на столе, поэтому сложности с прокси для меня чужды :) Хотя, может скоро дорасту до момента, когда целевая платформа будет воткнута в удаленный сервер. Но даже в этом виде мне сложно представить, что я буду через терминал править исходники. Скорей всего на рабочей машине останутся все привычные тулзы, а на сервер буду закидывать готовые исходники, и пусть он их пережевывает и плавит в железо. По крайней мере, так мне видится это сейчас через розовые очки :)

Это будет ровно до тех пор, пока не придётся перейти на серьёзный софт типа Cadence или Synopsys. Там увы никто лицензию лично на машину не предоставит. Некоторые умудряются и с Вивадо/Альтерой так же косячить или Моделсимом тем же платным. Ну и как я говорил - кривизна и неуниверсальность разных интерфейсов можно исправить только через консоль :wink:

Рано или поздно это придёт. Если раньше не забросите цифровую электронику)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 hours ago, Nick_K said:

А как там с поддержкой TCL? Что-то ниордин нормальный редактор не прожёвывает нормально синтексис.

Сигаси это эклипс. Эклипсовский плагин для тикля ставится. Ну, как то оно работает. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 hours ago, OparinVD said:

Я пользуюсь ActiveHDL, очень нравятся его рисовалки, особенно FSM. Текстовые способности может и хуже, чем у sublime, но точно лучше, чем у Vivado. В общем, поделитесь, как вы пользуетесь отдельными редакторами, т.е как у вас выглядит связка инструментов?

закончится когда потребуется более мощная верификация, которой альдек лишен. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, des00 сказал:

закончится когда потребуется более мощная верификация, которой альдек лишен. 

А чем верицифировать ?

У Vivado линтер тоже так-себе...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Flip-fl0p said:

У Vivado линтер тоже так-себе...

Верификация - это абсолютно не линтер. Это симулятор, при чём да, у Вивады он тоже слабенький. Нужен Моделсим или что-то такое

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Flip-fl0p said:

А чем верицифировать ?

У Vivado линтер тоже так-себе...

смотря что вы хотите, ООП и все такое: квеста, ривера и старшие бонзы. Если устраивает более простая верификация: моделсим и альдек. А про линт правильно заметили, это вообще не для этого)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 hours ago, OparinVD said:

В общем, поделитесь, как вы пользуетесь отдельными редакторами, т.е как у вас выглядит связка инструментов?

Дебаггер - Verdi, редактор - gvim.

Система - удалённый сервер на linux, без возможностей прямого доступа.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте все!

В Visual Studio Code есть несколько расширений для поддержки VHDL. Кто-нибудь перепробовал хотя бы несколько: какое больше понравилось? И есть ли хоть одно, которое может показать место, где определён объект под курсором?

Заранее признателен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сам отвечу и себе, и всем. Замечательная вещь - TerosHDL https://terostechnology.github.io/terosHDLdoc/ Особенно развлекает State Machine Viewer :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, DSIoffe said:

Сам отвечу и себе, и всем. Замечательная вещь - TerosHDL https://terostechnology.github.io/terosHDLdoc/ Особенно развлекает State Machine Viewer :)

Надо глянуть, выглядит как аналог сигаси. Это хорошо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый вечер, форумчане! Решил использовать в качестве текстового редактора VSCode и собсно по нему есть парочка вопросов:

1. При моих текущих настройках проверка синтаксиса осуществляется только после сохранения файла. Есть ли возможность динамически проверять синтаксис кода? В качестве линтера используется xvlog.

2. Хочу реализовать сборку проектов Vivado в non-project режиме. Написал тиклёвые скрипты по сборке, которые кушает Shell Vivadы. Создал task для VSCode, который запускает Vivado и передает ему скрипт. При запуске task успешно запускается Shell Vivado и исполняется скрипт, после чего shell ожидает новых команд. Как мне в уже запущенный shell передать другой скрипт? Мои эксперименты с taskами закончились на том, что запуск taska приводит к запуску нового терминала и у меня никак не выходит обратится к терминалу с shellом Vivadы. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может опрос сделать месяцев на 6 только? Интересно просто сколько народу как я очень упорно на Notepad++ сидит, подправив стили синтаксиса под себя)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 1/21/2022 at 4:07 PM, 5EN5E said:

2. Хочу реализовать сборку проектов Vivado в non-project режиме. Написал тиклёвые скрипты по сборке, которые кушает Shell Vivadы. Создал task для VSCode, который запускает Vivado и передает ему скрипт. При запуске task успешно запускается Shell Vivado и исполняется скрипт, после чего shell ожидает новых команд. Как мне в уже запущенный shell передать другой скрипт? Мои эксперименты с taskами закончились на том, что запуск taska приводит к запуску нового терминала и у меня никак не выходит обратится к терминалу с shellом Vivadы.

А можно какой-то гайд или может даже пример, так как данный вопрос очень интересен.

У нас фактически используется такой же подход как non-project режим от Вивады. С той лишь разницей, что запускается оболочка и передаётся мастер скрипт, который в зависимости от аргументов выполняет тот или иной шаг (или весь SPnR) и выходит через exit из инструмента (по любой из ошибок или по успешному завершению). Возможно есть опции запуска скриптов по очереди или запуска следующего, но это скорее всего неудобно и принесёт много лишних действий

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

32 minutes ago, Nick_K said:

А можно какой-то гайд или может даже пример, так как данный вопрос очень интересен.

Как будет понимание и реальные результаты, поделюсь. Задал вопрос на Реддите, пока ответов по существу нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...