Перейти к содержанию
    

Программатор для Xilinx

В порядке обмена опытом и в помощь для начинающих.

Это материалы по стандартному программатору Xilinx, который можно разместить в разъеме от LPT-порта. Здесь ничего нового, я только развел Parallel Download Cable, рекомендованный от Xilinx в общеизвестном файле JTAG cable.pdf. Их сделал несколько штук, работают сразу и не подводят уже пару лет:

1. В файле Prog_Xilinx.zip в формате *doc три картинки: принципиальная схема, печатная плата и рисунок фотошаблона. Это для ознакомления тем, кто не работает с Protel-DXP.

2. А те, кто работают с Protel-DXP - сам проект, он в файте Xilinx_JTAG.zip.

3. И еще фотография этого Xilinx программатора. Печатная плата сделана фоторезистивным способом в домашних условиях, после напайки покрыта пластик-лаком. Длина кабеля 90 см.

Удачи. :)

Prog_Xilinx.zip

Xilinx_JTAG.zip

post-14377-1165315979_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В порядке обмена опытом и в помощь для начинающих.

Это материалы по стандартному программатору Xilinx, который можно разместить в разъеме от LPT-порта. Здесь ничего нового, я только развел Parallel Download Cable, рекомендованный от Xilinx в общеизвестном файле JTAG cable.pdf. Их сделал несколько штук, работают сразу и не подводят уже пару лет:

1. В файле Prog_Xilinx.zip в формате *doc три картинки: принципиальная схема, печатная плата и рисунок фотошаблона. Это для ознакомления тем, кто не работает с Protel-DXP.

2. А те, кто работают с Protel-DXP - сам проект, он в файте Xilinx_JTAG.zip.

3. И еще фотография этого Xilinx программатора. Печатная плата сделана фоторезистивным способом в домашних условиях, после напайки покрыта пластик-лаком. Длина кабеля 90 см.

Удачи. :)

 

Предлагаю каждому кто скачал сказать этому Великому человеку

 

СПАСИБО!!! :w00t:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Человеку, конечно, спасибо. Но тему засорять не нужно. Пишите по делу и никаких :bb-offtopic: ов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня такой кабель давно был сделан и я им успешно пользовался.

Но сейчас выяснилось, что он, например, не "шьёт" platform flash. Там нужна скорость 6 МГц (точнее кажись более 3 МГц), а с LPT она не достижима.

Так что пришлось купить новый фирменный Platform Cable USB.

С ним таких проблем нет (и вообще ни с чем нет проблем).

А этот самосбор ушёл в историю...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

к Dimonira и другим:

Вот это и не ясно (разъясните, мой уровень здесь, думаю, средний или ниже):

1.Сколько есть типов программаторов для Xilinx?

2.Какова их сложность, цена и, главное, возможности применительно к сериям FPGA (хорошо бы классифицировать по возрастающей)?

3.Можно ли сделать программатор самому, не покупая его?

4.Да и еще, все же, какие граничные возможности у этого, простейшего программатора, какие серии он уже просто не может осилить?

Буду благодарен за обстоятельные ответы :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дык на родном сайте же всё написано (если поискать).

 

Информация официально открыта только для LPT-шного программатора Parallel Cable III (про который тут и написано). Поэтому только его и можно сделать самому. Но он не шьёт новые EEPROM, только 17х и 18х серии. Spartan 3 тоже не потянет, только 2-й. Попросту говоря - устарел он уже.

 

По Parallel Cable IV (более новому, с "расширенными" возможностями) - он отличается от предыдущего тем, что сделан с "нормальным" подключением к параллельному порту, т.е. с полноценным стандартным двусторонним 8-битным обменом. Схемы его нету (закрытая инфа), так что самому врядли сделать получится.

 

Новый USB кабель тоже самому не сделать, т.к. схемы его нету и там копирайт сделан (стоит внутри PLD, которая обновляется IMPACT-ом под покровом тайны), т.е. вся инфа закрыта, и схема, и протоколы обмена и т.д. Может когда-нибудь его и "вскроют", но пока этого не сделали.

 

Из всего сказанного вывод простой: если не хочешь себе гимора на одно место, то самое лучшее - купить этот фирменный USB кабель и закрыть на этом все вопросы типа "а у меня не шьётся", "а у меня в IMPACT чип виден, но шьётся с ошибкой" и т.п. Благо стоит он не такие уж большие деньги - 185 usd (хотя в личных целях, конечно, не мало).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня такой кабель давно был сделан и я им успешно пользовался.

Но сейчас выяснилось, что он, например, не "шьёт" platform flash. Там нужна скорость 6 МГц (точнее кажись более 3 МГц), а с LPT она не достижима.

Где Вы прочитали, что для загрузки в Flash Prom(XCFxx) по JTAG необходима скорость более 3 МГц

(ссылку если можно) ?

Кроме того 17х серии ПРОМы не шьются ни одним кабелем! а только с помощью программатора.

 

Для Волощенко:

Вашим кабелем (Parallel III) можно прошивать и Флэш Пром и другие CPLD / FPGA , при питании не менее 2В. Поподробней прочитать какие кабели и с каким софтом можно применять см здесь http://www.xilinx.com/xlnx/xil_ans_display...etPagePath=8097

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... Spartan 3 тоже не потянет, только 2-й ...

Если для конфигурации Spartan3/3L использовать ПЗУ XC18V... , и подключить ее как сказано во вложенной документации (3.3V PROM), то можно обойтись и кабелем Parallel Cable III.

... если не хочешь себе гимора на одно место, то самое лучшее - купить этот фирменный USB кабель ...

Не проверял как в ISE8.2i, но в более старых версиях заметил такую фичу: известно, что для USB кабеля ISE ставит свой драйвер. Так вот после его установки в системе перестают определяться другие USB устройства. Кто-нибудь с этим сталкивался? В связи с этим я себе заказал для работы Parallel Cable IV, благо с USB кабелем они по функциям практически не отличаются.

xapp453.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Информация официально открыта только для LPT-шного программатора Parallel Cable III (про который тут и написано). Поэтому только его и можно сделать самому. Но он не шьёт новые EEPROM, только 17х и 18х серии. Spartan 3 тоже не потянет, только 2-й. Попросту говоря - устарел он уже.

 

По Parallel Cable IV (более новому, с "расширенными" возможностями) - он отличается от предыдущего тем, что сделан с "нормальным" подключением к параллельному порту, т.е. с полноценным стандартным двусторонним 8-битным обменом. Схемы его нету (закрытая инфа), так что самому врядли сделать получится.

 

Неправда ваша.

В комплекте с Xilinx Spartan-3 Starter Kit

( на котором установлены FPGA XC3S200 и память 2Mbit Xilinx XCF02S)

идет в комплекте кабель для программирования через LPT. Схема в прикреплении.

jtag3_sch.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Большое спасибо за ответы! Еще, если можно, несколько вопросов:

В ссылке http://www.nuhorizons.com/products/digilent/jtag-cable.html говорится, что кабель JTAG3 - есть полная замена Parallel Cable III. В частности, JTAG3 включен в старт-кит HW-SPAR3E-SK-US и он есть в некоторых аналогичные китах, в том числе, и для программирования Spartan-3E (по материалам из Google). Однако в моем iMPACT 7.1.03i, в опциях Output\Cable Setup..., самого JTAG3 в списке разрешенных нет.

1. Как поступили в iMPACT те, кто уже использовал JTAG3, какие настройки в опциях Output\Cable Setup... для iMPACT были сделаны?

2. Можно ли JTAG3 программировать другие CPLD и FPGA, есть ли опыт?

3. В приведенной от o-henry схеме jtag3_sch.pdf есть цепи "SHIELD-SHD", не понятно что это такое; а также примечание, что Р9, Р11 и Р12 закорочены на конце кабеля, что это, просто перемычки в разъеме DB-25? Есть ли еще схема JTAG3, где эти моменты более наглядны?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

JTAG3 Cable будет опознан софтом как Parallel III, так что не беспокойтесь. При запуске IMPACT программа пусть сама определит ваш кабель. Если будут проблемы с кабелем - она вам о них сообщит.

Зачем вам теперь JTAG3 - схема кабеля, если он = Parallel III.

В схеме o-henry - или некачественная копия, или ошибка, но соединяются P8, P11, P12 - как у вас (перемычки). См http://www.plis.ru/pic/pict/File/jtag_cable.pdf

На этом же сайте можете почитать русские доки.

SHIELD-SHD - это экран и земля(у вас в схеме есть).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В референсных дизанах Протела есть универсальный JTAG програматор, подходит и для XILINX и ALTERA.

С ISE тоже работает, с Quartus-ом не пробывал.

Изменено пользователем Mukhanov

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В схеме o-henry - или некачественная копия, или ошибка, но соединяются P8, P11, P12 - как у вас (перемычки).

Не мои глюки.

схему взял с фирменного диска, который шел в комплекте с Xilinx Spartan-3 Starter Kit.

сейчас прозвонил - действительно вместе соединены P8, P11, P12 :angry2:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 pergunt

Где Вы прочитали, что для загрузки в Flash Prom(XCFxx) по JTAG необходима скорость более 3 МГц (ссылку если можно) ?

Не только прочитал, а убедился на практике. Это (насколько я помню) написано в errate на platform flash. Я начал это выяснять, когда у меня не прошивалась XCF08P, причём она определялась правильно, но при попытке шитья выдавала ошибку, а user code записывался только наполовину.

Кстати, предыдущий вариант USB кабеля, который назывался MultiLinx, тоже не захотел прошивать XCF08P с теми же симптомами. Как и положено, в его даташите ведь нету поддержки platform flash :).

 

2 Flanker

Если для конфигурации Spartan3/3L использовать ПЗУ XC18V... , и подключить ее как сказано во вложенной документации (3.3V PROM), то можно обойтись и кабелем Parallel Cable III.

Можно, но это я уже называю гимором, т.к. фактически же вы программируете XC18V, с которой этот кабель работает. И потом, у меня, допустим, нету нигде 3.3В на плате (2.5В и ниже), я что должен тольк ради этого (кабеля) заводить эту напругу? Это гимор однозначно.

Не проверял как в ISE8.2i, но в более старых версиях заметил такую фичу: известно, что для USB кабеля ISE ставит свой драйвер. Так вот после его установки в системе перестают определяться другие USB устройства. Кто-нибудь с этим сталкивался? В связи с этим я себе заказал для работы Parallel Cable IV, благо с USB кабелем они по функциям практически не отличаются.

В 8.2 проверял (щас сижу в ней) - всё нормально.

А на счёт Parallel Cable IV, то у него недостаток (помимо самого LPT порта - привет ноутбукам!), - это меньшая чем у Platform Cable USB скорость.

 

2 o-henry

Неправда ваша.

В комплекте с Xilinx Spartan-3 Starter Kit

( на котором установлены FPGA XC3S200 и память 2Mbit Xilinx XCF02S)

идет в комплекте кабель для программирования через LPT. Схема в прикреплении.

Не понял, что именно неправда?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Специально для Волощенко.

Схема идет с Altium Designer 6, насчет более ранних версий незнаю.

Находиться в Examples\Reference Designs\Universal JTAG interface REV 1.11.

На всякий случай прицепил файл.

Делал сам такую штуку, плату пришлось переделать потому что небыло микросхем в нужном корпусе

и так сказать для адаптации к изготовлению в домашних условиях.

Проблема была со схемой сдвига уровня сигнала TDO, почемуто не работала, я сделал на прямую и

все заработало. Программатор проверял с Altium Designer 6 и ISE 8.1.

 

UniversalJTAGInterfaceRev1_11.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...