Перейти к содержанию
    

Документация на System Verilog

Подскажите лучшие книги для того чтобы разобраться в методологии UVM и начать писать в быстрые сроки???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

58 minutes ago, nepoch said:

Подскажите лучшие книги для того чтобы разобраться в методологии UVM и начать писать в быстрые сроки???

UVM Primer. Vanessa's Guide. После этого уже будет не страшно просто писать, сверяясь с UVM User Guide.

Изменено пользователем one_eight_seven

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 4/30/2019 at 4:14 PM, Nick_K said:

Вся хитрость заключается в количестве строк процесса: верилог разрешает писать процесс вез конструкции begin-end только для однострочных выражений, а каждая ";" - это конец строки. Соответственно больше одной строки - используйте конструкцию начала/окончания процесса

 

Так речь шла о том, что символ "," вместо ";" как раз и должен говорить компилятору, что два выражения, разделенные запятой нужно воспринимать как одно. По аналогии с языком Си. Причем, Верилог в операторе assign позволяет такое, а в always - не позволяет. Мне тоже это кажется нелогичным...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

41 minutes ago, S_Hawk said:

Так речь шла о том, что символ "," вместо ";" как раз и должен говорить компилятору, что два выражения, разделенные запятой нужно воспринимать как одно. По аналогии с языком Си. Причем, Верилог в операторе assign позволяет такое, а в always - не позволяет. Мне тоже это кажется нелогичным...

always_comb int aa, bb; logic c,d; , aa=inA*10; ,  begin: name1 int eee; , bb=aa+InB;  , ...  end , c=bb>0;, ...

Если сделать как вы хотите то тоже  логики не добавится.  Парсить такое  в компиляторе кошмар,   а уж читать в тексте и подавно. :wacko2:

Все же  процесс (always) по сути описывающий последовательность выполнения операторов  это не оператор назначения (assign) который сам по себе. 

 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Коллеги, посоветуйте годной литературы по Vivado HLS. По служебной необходимости есть задача освоить это кунг-фу.

Хотелось бы сделать это наиболее приятным способом, без рака мозга.

З Ы Стандартные доки от Xilinx предлагать нет смысла - они в любом случае в списке на изучение.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Bad0512 said:

Коллеги, посоветуйте годной литературы по Vivado HLS. По служебной необходимости есть задача освоить это кунг-фу.

Когда стояла задача перенести С код на ПЛИС то заставили переписать код на С++ с использованием референсов.

У Майкросовта даже есть возможность проверить код - скармливаеш файл через батник и он говорит, насколько он соответствует стандарту - если соответствует, то легче потом HLS обрабатывается.

Подробностей не помню... давно было.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

27.10.2019 в 20:29, Perdachillo сказал:

Не нашли в электронном виде?

Залито, upload/books

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

прошу поделиться стандартом UVM (IEEE 1800.2 если не ошибаюсь)

ftp у меня не работает почему-то, и в сети не нашел

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

11 hours ago, yes said:

прошу поделиться стандартом UVM (IEEE 1800.2 если не ошибаюсь)

ftp у меня не работает почему-то, и в сети не нашел

 

 

IEEE Std 1800.2™-2020.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, des00 said:

UVM таки стандартизировали?? сильно. 

По-моему, уже давно:
https://www.accellera.org/downloads/standards/uvm

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

30 minutes ago, nice_vladi said:

По-моему, уже давно:
https://www.accellera.org/downloads/standards/uvm

опять за парту надо, а то все по проприетарным книжкам 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...