Перейти к содержанию
    

Начало изучения плис

Roman

OK. Книжка неплохая, только читать в HTML ее геморно.

Выложи ее на FTP форума для всех участников (_upload), ты уже "свой" и имеешь к нему доступ.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ИМХО инструмент зависит от задачи. Если нужено получить код, имеющий собственную от проекта ценность, или алгоритм достаточно сложный, то конечно HDL, а если проект прозрачный и результат надо быстро то наверное схематик. Но это зависит от типа мышления. Только не подумайте, что логический автомат надо рисовать в схематике. Но счетчик почему бы и не взять из библиотеки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Смотри здесь:

http://www.altera.com/products/devices/max3k/m3k-index.html

http://www.altera.com/products/devices/max7k/m7k-index.html

и далее вниз по иерархии.

На русском есть немного здесь:

http://www.altera.ru/text/mikrosxem_table.htm

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уважемые. вот я собрал свой проект, написнный на ВХДЛ,

прошел поцесс симуляции, все работает так как надо.

И вот что дальше с етим проектом делать не знаю!

Писал я в ActivHDL.

Как определить какие ресурсы мне понадятся для рализации(сколько ключей и логических блоков), а потом как этот сакмый проект прошить в CPLD?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Роман ет тебе надо скомпилировать на реальное устройство, в Quartus(ALTERA) или ISE WEB pack(XILINX) там тебе покажут сколько логики и т д.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Т.е. необходим експорт проекта в квартус?

А те библиотеки которые ActivHDL ставаил вместе с собой, они для чего?

Я думал они и предназначены для создания готовых прошивочных кодов.

(Имется введу при установке подключать библитеки altera,xilinx итд.)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

После того как твой проект в симуляторе ActivHDL ведеть себя так как тебе надо, его необходимо синтезировать т.е. перевести из VHDL в нетлист (обычно енто EDIF) под конкретного производителя кристалла altera,xilinx

Необходим экспорт проекта в квартус(webpack)

А те библиотеки которые ActivHDL ставил вместе с собой нужны для симуляции примитивов производителя

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Извените, что подразумеевается под примитивами производителя?

Блоки которые есть на борту (память,генераторы и т.п.)?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да блоки памяти, длл-ки, тригерра и другие скажем так 'кирпичики' м/схемы, ну а если очень хочется знать что это тода надо взять даташит от кристалла и поискать в нем описание всего этого хозяйства у буржуев называется technology specific elements.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уважаемые

Вот такой вопрос-

Создал на вхдл проект

скомпилировал, проверил.

Все отлично- 0 варнингов 0 ероров

дальше указываю квартус для воплощения выбираю микросхему

и при компиляции выдется ошидка (какая не известно)

приэтом куча матов на различные кейворды ,

может кто-то подскажет с чем это связано

С уважением Роман

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуй сначала свой проект в квартусе сделать, это проще,

или даже в МАКсе. Просто создай проект, перенесли исходный код, выбери микросхему.

 

ActiveHDL более универсальная среда со своими тонкостями, требующая навыков работы в различных САПРах, к ней переходи потом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Буду очень благодарен за любую помощь :help:

Проблема такая: в университете курсовой по теории кодирования (сдавать через 20 дней). Кодек Рида-Соломона, ну, не в этом суть, алгоритм готов. По опыту предыдущих лет все реализовыввают на микросхемах.

Решил попробовать на ПЛИС, за 20 дней думаю только с AHDL смогу немного освоится.

(уровень: полный новичок)

Где можно взять учебник какой-нибудь? по интернету нахожу везде только переведнных help, вроде неплохо, но с нуля трудновато.

Еще очень хочется образцы конкретных устройства, а не отдельных компонентов, т.к. никак не могу понять как соединять отдельные блоки между собой.

Или просто может кто согласен на пару тупых вопросов ответить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...