Перейти к содержанию
    

Нужны некоторые конструкции SV, в частности 2-х мерные массивы, по типу

wire [7:0][15:0]ABC;

ISE, как я понимаю, такое не могёт... в отличии, кстати от Q, тот вполне компилирует.

Говорят к ISE можно подключить сторонний синтезатор Synplify. Собственно два вопроса:

1. Где его взять? Смотрел на торрентах, есть 9.6.1; 2009.06 SP1; 2010 2010091 и 2019.03 версии,. Какая лучше? Как обстоят дела с кряками, работают ли?

2. Как интегрировать, если это возможно, одно в другое?

Изменено пользователем Jackov

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я использовал и использую, когда надо, менторовский Precision -- вполне себе работает. Интеграция там минимальная, но есть, если память не изменяет: где-то в настройках изи прописываются пути к внешнему симулятору, внешнему синтезатору... Поскольку Вам нужен SV, то, чем версия новее, тем лучше -- поддержка ж добавляется не сразу после появления стандарта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 6/30/2022 at 11:01 PM, Jackov said:

Нужны некоторые конструкции SV, в частности 2-х мерные массивы, по типу

wire [7:0][15:0]ABC;

ISE, как я понимаю, такое не могёт... в отличии, кстати от Q, тот вполне компилирует.

Говорят к ISE можно подключить сторонний синтезатор Synplify. Собственно два вопроса:

1. Где его взять? Смотрел на торрентах, есть 9.6.1; 2009.06 SP1; 2010 2010091 и 2019.03 версии,. Какая лучше? Как обстоят дела с кряками, работают ли?

2. Как интегрировать, если это возможно, одно в другое?

 

Проект в synplyfy. Из synplyfy на стадии имплементации вызывается ISE.

Или проект в Active_HDL: Настройки:  синтезис в synplify, implementation в ISE.

В закромах 2013- прекрасно работает,- Пользовал.

2019 работает, но перед пуском каждый раз надо запускать менеджер лицензий.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 04.07.2022 в 09:00, gosha сказал:

В закромах 2013- прекрасно работает

У меня туда нет доступа.

Установил версию 2010. При попытке создать проект в самом Synplify он аварийно завершается.

В 01.07.2022 в 05:09, SII сказал:

Интеграция там минимальная, но есть, если память не изменяет: где-то в настройках изи прописываются пути к внешнему симулятору, внешнему синтезатору...

Подключил к ISE, вроде даже что-то синтезирует. Но вот незадача, логи синтеза выводит не в консоль ISE, а в отдельный файл, и всё бы ничего, но там такая портянка, что в ней среди прочего никак невозможно найти предупреждения и ошибки. В консоли ISE можно отфильтровать, переключив на соответствующую вкладку. А тут как? Неясно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 6/30/2022 at 11:01 PM, Jackov said:

ISE, как я понимаю, такое не могёт... в отличии, кстати от Q, тот вполне компилирует.

Я бы сравнивал Q с V. А V такие конструкции прекрасно обрабатывает.

А в чем смысл использования ISE? 6-я серия?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 05.07.2022 в 14:50, attaboy сказал:

Я бы сравнивал Q с V. А V такие конструкции прекрасно обрабатывает.

Я сравниваю по годам. Q версии 9.1 от 2009 года, а ISE версии 14.7 от 2013 года, Q может, I нет.

В 05.07.2022 в 14:50, attaboy сказал:

А в чем смысл использования ISE? 6-я серия?

У меня Spartan 6.

И кстати, что получается, Vivado поддерживает SV, но не поддерживает Spartan 6, ISE поддерживает Spartan 6, но не поддерживает SV.
Выходит так, что в принципе, используя штатные инструменты, нельзя ничего написать на SV для Spartan-а 6? Здорово у них там всё.

 

И как выводить предупреждения и ошибки в удобном виде, используя Synplify, я так и не понял.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 06.07.2022 в 22:00, Jackov сказал:

И как выводить предупреждения и ошибки в удобном виде, используя Synplify, я так и не понял.

Я успешно прошёл ваш путь и могу показать, что и как делать. Могу рассказать и показать, что и как делать. Сюда или в личку.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 14.07.2022 в 16:05, Zversky сказал:

Я успешно прошёл ваш путь и могу показать, что и как делать. Могу рассказать и показать, что и как делать. Сюда или в личку.

Буду признателен, если поделитесь опытом.

Думаю, лучше здесь, может быть это прочитает ещё кто-то кому это нужно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 14.07.2022 в 20:46, Jackov сказал:

Буду признателен, если поделитесь опытом.

Легко. Давайте, я на примере вашего проекта покажу, как это делается.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не понимаю зачем synplify вызывать из ISE или ISE из synplify

------------

самый прямой путь - все исходники собираются в отдельный симплифай проект, в результате которого получается нетлист (файл с расширением edn по умолчанию). при этом RTL Viewer в Synplify да и вообще возможности отладки синтеза кроют как Q так и V (я вообще не видел RTL вьювера лучше симплифайского, даже DC за бешеные бабки рисует нетлисты гораздо хуже)

при создании ISE проекта указывается, что это проект нетлиста - то есть подключаются два файла - тот самый edn из симплифая и ucf c констрейнами (в принципе, констрейны может генерить и симплифай из sdc - но мне кажется, для начала лучше руками написать)

какая-то "интеграция" этих тулов на результат никак не повлияет, но при раздельных проектах все удобно, все видно и т.д.

 

On 7/6/2022 at 11:00 PM, Jackov said:


Выходит так, что в принципе, используя штатные инструменты, нельзя ничего написать на SV для Spartan-а 6? Здорово у них там всё

зря вы так - симплифай там в стандартном пакете, как у многих остальных ПЛИС вендоров (латтиса/актела-микрочипа и т.д.) это был стандарт индустрии, так сказать. синтез в ISE (LSE или как там называлось) - это для бедных, в бесплатной версии, чтобы они страдали и копили деньги на нормальный тул :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 20.07.2022 в 11:41, yes сказал:

при этом RTL Viewer в Synplify да и вообще возможности отладки синтеза кроют как Q так и V (я вообще не видел RTL вьювера лучше симплифайского, даже DC за бешеные бабки рисует нетлисты гораздо хуже)

За Sigasi и DVT тут же скажите, пожалуйста, если есть возможность

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 7/20/2022 at 1:01 PM, Zversky said:

За Sigasi и DVT тут же скажите, пожалуйста, если есть возможность

увы нет - не использовал. но насколько я знаю, sigasi это HDL редактор, а не RTL синтез / вьювер, а что такое DVT даже не знаю

----------

мой редактор emacs - со времен verilog mode , сейчас многое не нужно из его /*AUTO.. / , но все-равно мне нравится

немного пользовал visual code, но не понравилост

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 20.07.2022 в 19:25, yes сказал:

sigasi это HDL редактор, а не RTL синтез / вьювер, а что такое DVT даже не знаю

Да, вы правы насчёт Sigasi.

image.thumb.png.040926a80fdda57b55a42bfd590ff11b.png

 

image.thumb.png.061e4d16251d6c78fc1c445a958e4c8b.png


Кстати, если хотите попробовать, и сможете
1 выставить MAC под лицензию, что есть у вашего покорного слуги и
2 сможете (только на время старта sigasi и проверки оным лицензии) откатить время ПК на время работы лицензии, а после того, как sigasi стартанёт, вернёт его в обратный зад, то вам только останется сказать "хочу", и вместе с лицензией могу выгрузить в облако и текущую версию дистрибутива и батник для манипуляции со временем (если в win (для linux знаю проблем тоже никаких, даже сетевую можно виртуальную создать (как создать виртуальную сетевую в win не знаю, посему приходится ставить вторую реальную, у которой подменяется mac именно под sigasi)

Про DVT мы пробовали, и мой коллега сказал. что это - гораздо круче, чем sigasi. Но там вариант обхода, что я описал выше, не покатит, посему мыслю ставить виртуалку, которая будет жить в том времени постоянно без синхронизации с внешним миром, и уже там запускать DVT. Да, лицензия тоже есть, так что если кто хочет пробовать - милости welcome!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 7/21/2022 at 1:04 PM, Zversky said:


Кстати, если хотите попробовать, и сможете
 

спасибо, сейчас не столько времени. может позже, но если честно - рисунок иерархии/связей не сильно впечатляет, может нужно привыкнуть...

и что такое DVT? плагин под эклипс? там как-то с С-кодом у эклипса, на мой взгляд, не очень, а с HDL... сомневаюсь...

но опять же, не пользовал, может это моя проблема, а инструменты хорошие

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 7/20/2022 at 11:41 AM, yes said:

зря вы так - симплифай там в стандартном пакете, как у многих остальных ПЛИС вендоров (латтиса/актела-микрочипа и т.д.) это был стандарт индустрии, так сказать. синтез в ISE (LSE или как там называлось) - это для бедных, в бесплатной версии, чтобы они страдали и копили деньги на нормальный тул :)

В каком стандартном пакете для Spartan 6 был / есть Синплифай? В ISE его ж вроде никогда не было, включая платную версию. Отдельно да, можно использовать, но при чем тут "стандартный пакет"?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...