Перейти к содержанию
    

Тестовый модуль не видит тестируемый Vivado

Добрый день!

Форумчане подскажите пожалуйста, я только осваиваю Vivado.
Пытаюсь связать тестовый модуль с рабочим.

По отдельности все норм - дизайн и синтезируется и имплементируется, и логически там все правильно - тщательно проверил все логику - луты/регистры итд...
И если тестбенч запустить отдельно, т.е. без вложения в него модуля Main на выходах симулятор выдает ровно то что я от него хотел.
Но когда пытаюсь их сростить и запустить симуляцию вываливается ошибка

 

[VRFC 10-2063] Module <Main> not found while processing module instance <Main1>

 

Пол дня бился - и библиотеки менял, и из через другие папки (не Sim_1) запускать пробовал и т.д.

вообще все бестолку... 


Листинг дизайна

module Main(......);
...
Div Div1(....);
...
endmodule

module Div( ..... );
...
endmodule


Листинг теста после которого вываливается ошибка

module Test1_tb(...);
...
Main Main1 (....);
...
endmodule


Подскажите пожалуйста, что подкрутить нужно.

Спасибо!

Изменено пользователем Bpovov

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/2/2022 at 1:20 PM, Bpovov said:

Добрый день!

Форумчане подскажите пожалуйста, я только осваиваю Vivado.
Пытаюсь связать тестовый модуль с рабочим.

По отдельности все норм - дизайн и синтезируется и имплементируется, и логически там все правильно - тщательно проверил все логику - луты/регистры итд...
И если тестбенч запустить отдельно, т.е. без вложения в него модуля Main на выходах симулятор выдает ровно то что я от него хотел.
Но когда пытаюсь их сростить и запустить симуляцию вываливается ошибка

 

[VRFC 10-2063] Module <Main> not found while processing module instance <Main1>

 

 

 
Quote

I compared the code and found that your port names are wrong:

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

21 hours ago, Bpovov said:

Если это намек на что-то, то если честно я его не понял)

Пишут, проверьте имена портов модуля Main там, где подключается, и все ли порты присутствуют где включаете.

Прочитайте Warning - нет ли замечаний относительно Main1() .

Или выложите проект.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, gosha сказал:

Пишут, проверьте имена портов модуля Main там, где подключается, и все ли порты присутствуют где включаете.

Прочитайте Warning - нет ли замечаний относительно Main1() .

Или выложите проект.

 

Порты все совпадают, варнигов нет.

Я вам больше скажу, это не только с моим листингом, пробовал пару самых простых детских проектов из интернета - та же самая ситуация, тестовая часть не видит вложение.

Я думаю это не в проекте дело, в Tcl может или каких-то общих настройках самого Vivado.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

29 minutes ago, Bpovov said:

 

Порты все совпадают, варнигов нет.

Я вам больше скажу, это не только с моим листингом, пробовал пару самых простых детских проектов из интернета - та же самая ситуация, тестовая часть не видит вложение.

Я думаю это не в проекте дело, в Tcl может или каких-то общих настройках самого Vivado.

Выложите проект ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/7/2022 at 10:46 AM, gosha said:

Выложите проект ?

Да, сейчас пришлось с Vivado на другую область проекта переключиться, на днях выложу. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вернулся к проекту

Вот на него ссылка. В качестве примера там недописанный алгоритм деления, но модуль по RTL и синтезу - рабочий

https://dropmefiles.com/sc2Wgt

Симулятор не видит модуль

ERROR: [VRFC 10-2063] Module <Divider_16_8> not found while processing module instance <Div_test>
ERROR: [XSIM 43-3322] Static elaboration of top level Verilog design unit(s) in library work failed.


INFO: [USF-XSim-69] 'elaborate' step finished in '1' seconds
INFO: [USF-XSim-99] Step results log file:


ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output for more information.
ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.

 

Наверное детский косяк, но как я ни копался ни в интернете, ни сам нет даже версий, что делать!

Спасибо, если поможете.

Изменено пользователем Quantum1

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все! Слава богу! Вылечилось китайцы помогли)))

Одна единственная галочка.

https://blog.csdn.net/lyl455133/article/details/118017723

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...