Перейти к содержанию
    

SizeOf для массива в VHDL

18 часов назад, Strob сказал:

Самурай правильно говорит, создаёте анконстрэйнд массив, инициализируете, затем атрибутом забираете его размер в константу memorysize

В ответ пишет: Attribute "right" requires a constrained array prefix.

18 часов назад, Strob сказал:

Используйте 9х"000".

Пишет: Length field for bit string literal is not defined until VHDL 2008.

А жаль.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, DSIoffe сказал:

В ответ пишет: Attribute "right" requires a constrained array prefix.

Странно... Если Вы говорите про мой пример, то и Квартус 18.1 и Моделсим 10.2 его компилируют без ошибок.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мой моделсим пишет, что он ModelSim - INTEL FPGA STARTER EDITION 2020.1. Ну ладно, я уже обошёлся меткой в данных, спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...