Перейти к содержанию
    

Quartus programmer console mode - что не так?

Всем добра!

Имею sqpce.bat

set QuartusPgm=d:\altera\18.1\quartus\bin64\quartus_pgm.exe
set QuartusPgmCmd="-f sqpcfe.txt"
%QuartusPgm% %QuartusPgmCmd%
pause

который использует sqpcfe.txt

--mode=jtag --cable==USB-Blaster vga.cdf --device==10M08DAF484ES --operation==pv;vga.pof

который, в свою очередь, в качестве значения одного из ключей (безымянного, как понимаю) передаёт программеру vga.cdf

/* Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition */
JedecChain;
	FileRevision(JESD32A);
	DefaultMfr(6E);

	P ActionCode(Ign)
		Device PartName(10M08DAF484ES) MfrSpec(OpMask(0) Child_OpMask(2 0 0) FullPath("vga.pof"));

ChainEnd;

AlteraBegin;
	ChainType(JTAG);
AlteraEnd;

В результате при вызове на исполнение sqpce.bat получаю

f:\VGA\Quartus>set QuartusPgm=d:\altera\18.1\quartus\bin64\quartus_pgm.exe
f:\VGA\Quartus>set QuartusPgmCmd="-f sqpcfe.txt"
f:\VGA\Quartus>d:\altera\18.1\quartus\bin64\quartus_pgm.exe "-f sqpcfe.txt"
Error (23026): Argument file  sqpcfe.txt not found

Usage:
------
quartus_pgm [-h | --help[=<option|topic>] | -v]
quartus_pgm -c <cable name> filname.cdf  --- If you want to use cdf file
quartus_pgm -c <cable name> -m <programming mode> -o <value> [-o <value>...] --- If you want to use individual programming file(s)
quartus_pgm -l --- to display the list of available hardware
quartus_pgm -c <cable name> -a --- to display the list of devices connected to the cable

Почему он не находит этот sqpcfe.txt или что ему не нра?
Все файлы в скрепке.

QuartusPrmTest.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 minute ago, MaratZuev said:

quartus_pgm.exe "-f sqpcfe.txt" Error (23026): Argument file sqpcfe.txt not found

Попробуйте без кавычек, это важно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 hours ago, AVR said:

Попробуйте без кавычек, это важно.

Продвинулся, спасибо, но

f:\VGA\QuartusPrmTest>d:\altera\18.1\quartus\bin64\quartus_pgm.exe -f sqpcfe.txt
Info: *******************************************************************
Info: Running Quartus Prime Programmer
    Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
    Info: Copyright (C) 2019  Intel Corporation. All rights reserved.
    Info: Your use of Intel Corporation's design tools, logic functions
    Info: and other software and tools, and any partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Intel Program License
    Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
    Info: the Intel FPGA IP License Agreement, or other applicable license
    Info: agreement, including, without limitation, that your use is for
    Info: the sole purpose of programming logic devices manufactured by
    Info: Intel and sold by Intel or its authorized distributors.  Please
    Info: refer to the applicable agreement for further details, at
    Info: https://fpgasoftware.intel.com/eula.
    Info: Processing started: Sun Oct 17 12:29:54 2021
Info: Command: quartus_pgm --mode=jtag vga.cdf --device==10M08DAF484ES --operation==pv;vga.pof
Info (213045): Using programming cable "USB-Blaster [USB-0]"
Info (213011): Using programming file vga.pof with checksum 0x02659CDC for device 10M08DAF484ES@1
Error (213005): No programming option(s) selected for device. Refer to --help for legal programming options.
Error: Quartus Prime Programmer was unsuccessful. 1 error, 0 warnings
    Error: Peak virtual memory: 4424 megabytes
    Error: Processing ended: Sun Oct 17 12:29:58 2021
    Error: Elapsed time: 00:00:04
    Error: Total CPU time (on all processors): 00:00:01

Ни гуглением по

Error (213005): No programming option(s) selected for device. 

ни чтением help 

Spoiler

d:\Altera\18.1\quartus\bin64>quartus_pgm --help
Quartus Prime Programmer
Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
Copyright (C) 2019  Intel Corporation. All rights reserved.

Usage:
------

quartus_pgm [-h | --help[=<option|topic>] | -v]
quartus_pgm -c <cable name> filname.cdf  --- If you want to use cdf file
quartus_pgm -c <cable name> -m <programming mode> -o <value> [-o <value>...] --- If you want to use individual programming file(s)
quartus_pgm -l --- to display the list of available hardware
quartus_pgm -c <cable name> -a --- to display the list of devices connected to the cable

Description:
------------


Options:
--------

        -f <argument file>
        -a | --auto
        -b | --bgp
        -c <cable name> | --cable=<cable name>
        -i | --initcfg
        -k | --non_volatile_key
        -l | --list
        -m <programming mode> | --mode=<programming mode>
        -o <programming operation> | --operation=<programming operation>
        -u | --ufp
        -z | --haltcc
        --accept_bad_sysid
        --base=<base address>
        --csr=<csr base address>
        --debug
        --device=<device_index>
        --dualdie
        --epcq
        --epcs
        --erase=<erase>
        --erase_all
        --go
        --host_mode
        --id=<id>
        --instance=<instance_id>
        --lower_priority
        --mmu
        --nios2
        --no_keep_nearby
        --onchip
        --override=<override>
        --pr=<partial reconfiguration>
        --program
        --quiet
        --read=<read all>
        --read_bytes=<read bytes>
        --sidp=<sidp>
        --timestamp=<timestamp>
        --verify
        --width=<width>

Help Topics:
------------

        arguments
        return_codes

For more information on specific options, use --help=<option|topic>.


d:\Altera\18.1\quartus\bin64>quartus_pgm --help=program

Option: --program

Unified flash programmer option
Program flash from the input files (the default)


d:\Altera\18.1\quartus\bin64>quartus_pgm --help=operation

Option: -o <programming operation> | --operation=<programming operation>

Option to specify which programming operation(s) to perform
on the device(s).

Use the following syntax for each device in a device chain:

    -o <options>;<input_file>@<device_index>

NOTE: The device index starts with 1.

Exceptions to this syntax occur when you use the following
options:

    -o E;<output_file>;<device_name>@<device_index>
    -o S;<device_name/input_file>@<device_index>

<options> must be one of the following combinations:

    P, BP, PV, BPV,
    PL, BPL, PVL, BPVL
    CP, CBP, CPV, CBPV,
    CPL, CBPL, CPVL, CBPVL
    IP, IBP, IPV, IBPV,

    V, CV, VL, CVL, IV

    B, CB, IB

    R, RB, CR, CRB, IR, IRB

    E, CE, IE

    L, CL

    S

where:

Option      Description
------      -----------
P           Program
R           Erase
L           Lock/Security Bit
I           Initialize Bridge Device*
V           Verify
B           Blank-check
C           ISP Clamp
E           Examine**
S           Skip/Bypass**

*  Serial FLASH Loader option only
** Cannot be used in combination with other options

Note:

- Specifying a <device_index> is optional, but if you
  specify a <device_index> for one device, you must
  specify a <device_index> for all devices.
- You cannot specify a <device_index> for devices in
  a Passive Serial chain.
- Each device in a multi-device chain must have a
  corresponding -o construction.

---------
Examples:
---------

Behavior                    Option Syntax
--------                    -------------
JTAG Program                -o pvb;file.pof
                            -o pvbi;file.jic

JTAG Examine                -o e;file.pof;device_name
                            -o ei;file.jic;device_name

Skip Device (JTAG Bypass    -o s;device_name

Passive Serial Program      -o file.sof

Active Serial Program       -o pl;file.pof

Passive Serial Chain        -o file1.sof -o file2.sof -o file3.sof

JTAG Chain                  -o p;file1.pof -o s;file2.pof
                            -o v;file1.pof@1 -o p;file2.pof@2

CDF                         quartus_pgm -c byteblastermv[lpt1] file.cdf

 

ничего не находится. Any ideas?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А почему operation == зачем два равно? Еще подозреваю нужно PV а не pv, это может оказаться важным.

 --operation==pv;vga.pof
8 minutes ago, MaratZuev said:

Any ideas? 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, AVR said:

А почему operation == зачем два равно? Еще подозреваю нужно PV а не pv, это может оказаться важным.

Да, по первому замечанию согласен, изменил. Со вторым не уверен, что согласен полностью, но тоже заменил на вс. случай

--mode=jtag vga.cdf --device=10M08DAF484ES --operation=PV;vga.pof

Но хрен редьки ..


f:\VGA\QuartusPrmTest>set QuartusPgm=d:\altera\18.1\quartus\bin64\quartus_pgm.exe

f:\VGA\QuartusPrmTest>d:\altera\18.1\quartus\bin64\quartus_pgm.exe -f sqpcfe.txt
Info: *******************************************************************
Info: Running Quartus Prime Programmer
    Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
    Info: Copyright (C) 2019  Intel Corporation. All rights reserved.
    Info: Your use of Intel Corporation's design tools, logic functions
    Info: and other software and tools, and any partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Intel Program License
    Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
    Info: the Intel FPGA IP License Agreement, or other applicable license
    Info: agreement, including, without limitation, that your use is for
    Info: the sole purpose of programming logic devices manufactured by
    Info: Intel and sold by Intel or its authorized distributors.  Please
    Info: refer to the applicable agreement for further details, at
    Info: https://fpgasoftware.intel.com/eula.
    Info: Processing started: Mon Oct 18 01:47:02 2021
Info: Command: quartus_pgm --mode=jtag vga.cdf --device=10M08DAF484ES --operation=PV;vga.pof
Info (213045): Using programming cable "USB-Blaster [USB-0]"
Info (213011): Using programming file vga.pof with checksum 0x02659CDC for device 10M08DAF484ES@1
Error (213005): No programming option(s) selected for device. Refer to --help for legal programming options.
Error: Quartus Prime Programmer was unsuccessful. 1 error, 0 warnings
    Error: Peak virtual memory: 4425 megabytes
    Error: Processing ended: Mon Oct 18 01:47:06 2021
    Error: Elapsed time: 00:00:04
    Error: Total CPU time (on all processors): 00:00:01

f:\VGA\QuartusPrmTest>pause
Для продолжения нажмите любую клавишу . . .

или картинкой

image.thumb.png.a17cb41350d8cfe73e5050e2d4303c3b.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ура, товарищи: полная победа русского оружия над здравым смыслом!

--mode=jtag --operation=pv;vga.pof

f:\VGA\QuartusPrmTest>d:\altera\18.1\quartus\bin64\quartus_pgm.exe -f sqpcfe.txt
Info: *******************************************************************
Info: Running Quartus Prime Programmer
    Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
    Info: Copyright (C) 2019  Intel Corporation. All rights reserved.
    Info: Your use of Intel Corporation's design tools, logic functions
    Info: and other software and tools, and any partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Intel Program License
    Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
    Info: the Intel FPGA IP License Agreement, or other applicable license
    Info: agreement, including, without limitation, that your use is for
    Info: the sole purpose of programming logic devices manufactured by
    Info: Intel and sold by Intel or its authorized distributors.  Please
    Info: refer to the applicable agreement for further details, at
    Info: https://fpgasoftware.intel.com/eula.
    Info: Processing started: Mon Oct 18 02:25:04 2021
Info: Command: quartus_pgm --mode=jtag --operation=pv;vga.pof
Info (213045): Using programming cable "USB-Blaster [USB-0]"
Info (213011): Using programming file vga.pof with checksum 0x02659CDC for device 10M08DAF484ES@1
Info (209060): Started Programmer operation at Mon Oct 18 02:25:09 2021
Info (209017): Device 1 contains JTAG ID code 0x031020DD
Info (209060): Started Programmer operation at Mon Oct 18 02:25:11 2021
Info (209016): Configuring device index 1
Info (209017): Device 1 contains JTAG ID code 0x031020DD
Info (209007): Configuration succeeded -- 1 device(s) configured
Info (209011): Successfully performed operation(s)
Info (209061): Ended Programmer operation at Mon Oct 18 02:25:12 2021
Info (209024): Programming device 1
Info (209011): Successfully performed operation(s)
Info (209061): Ended Programmer operation at Mon Oct 18 02:25:35 2021
Info: Quartus Prime Programmer was successful. 0 errors, 0 warnings
    Info: Peak virtual memory: 4425 megabytes
    Info: Processing ended: Mon Oct 18 02:25:35 2021
    Info: Elapsed time: 00:00:31
    Info: Total CPU time (on all processors): 00:00:01

image.thumb.png.87e6d32e8a662c17e87222ea488b6011.png

Все расходимся, всем спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...