Перейти к содержанию
    

Автоматизированная чистка кода Verilog/VHDL?

On 8/6/2021 at 9:23 PM, RobFPGA said:

Так  что думаю  самый  доступны инструмент для этого  будет обучаемая нейронная сеть ...  в виде джуна RTL-щика  :biggrin:   Пара-тройка сеансов обучения с "подкреплением" обеспечат вам нужный результат.  

 

Удачи! Rob.   

Боюсь, джун-RTLщик не пройдет по критерию "не сломать".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

8 minutes ago, Koluchiy said:

... по критерию "не сломать".

По этому критерию  ни один инструмент гарантию не даст, а джун сможет! Если-же  конечно "нейросеть" обучаемая :rtfm::girl_devil::boast:

Удачи!  Rob.  

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, RobFPGA said:

Приветствую!

По этому критерию  ни один инструмент гарантию не даст, а джун сможет! Если-же  конечно "нейросеть" обучаемая :rtfm::girl_devil::boast:

Удачи!  Rob.  

Как раз формальная верификация (Formality или Conformal) даст гарантию, что 2 кода идентичны. Будет куча особенностей, но все в принципе решаемо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что есть по генерации документации на HDL? По большей части интересно на SV.

Хотя бы на уровне выдачи таблицы с выводами модулей, вход/выход, разрядность, достать описание из комментария заданного формата.

 

Если кто-то хочет предложить что-то свое, пишите в личку.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Koluchiy said:

достать описание из комментария заданного формата.

доксиген был в свое время для верилога, может для SV допилили

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То, что я видел, мне не понравилось (предполагаю, недоразобрался еще).

Убеждаю начальство нанять программиста, чтобы на каком-нибудь Питоне сделал именно то что надо. Пока не убедил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 hours ago, Koluchiy said:

А что есть по генерации документации на HDL? По большей части интересно на SV.

Хотя бы на уровне выдачи таблицы с выводами модулей, вход/выход, разрядность, достать описание из комментария заданного формата.

 

Если кто-то хочет предложить что-то свое, пишите в личку.

Sigasi. Также в одной из тем упоминался плагин для VS code с подобным функционалом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...