new123 0 14 июня, 2021 Опубликовано 14 июня, 2021 (изменено) · Жалоба Форумчане, день добрый. Имею кучу путей, которые завязаны на clk enable. К примеру module module_1 ( ... output wire [7:0] val_1 ) reg [7:0] counter; assign val_1 = counter; always @ (posedge clk) begin counter <= counter + 1 end endmodule module module_2 ( ... input wire clk_en, input wire [7:0] val_1 ) reg flag; always @ (posedge clk) begin if (clk_en) begin if val_1[7] begin flag <= 1; end end end endmodule wire [7:0] val_1; module_1 module_1 ( .val_1 (val_1) ) module_2 module_2 ( .clk (...), .clk_en (...), .val_1 (val_1) ) Как бы мне на TCL получить все пути через clk_en, к примеру путь -from module_1|counter -to module_2|flag? Есть статейка на эту тему https://www.intel.com/content/www/us/en/programmable/support/support-resources/design-examples/design-software/timinganalyzer/exm-tq-clock-enable.html , предлагают использовать fan_out, но почему то fan_out с clk_en с чем я не очень согласен, да и не сработало оно у меня. Изменено 14 июня, 2021 пользователем new123 Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
new123 0 15 июня, 2021 Опубликовано 15 июня, 2021 · Жалоба С наскоку решить не получилось. Думал может какая прямая команда есть. По итогу пришлось: 1) Взять все пути fan_out clk_enable 2) Отобрать все пути по условию -from <нужные мне> -to fan_out (clk_enable) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Avex 1 15 июня, 2021 Опубликовано 15 июня, 2021 · Жалоба Кроме -from и -to есть еще ключ -through, как раз ваш случай. Работает через пин или порт, а в некоторых тулах еще и через цепь. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться