flammmable 0 4 июня, 2021 Опубликовано 4 июня, 2021 (изменено) · Жалоба Предположим, у меня в проекте есть PLL с выходной частотой 133,3 МГц. Пускай данная частота в инвертированном виде подается на некий выход из ПЛИСа с именем ram_clk. module main( input ext_clk, output ram_clk ); wire int_clk; sdram_pll pll( .inclk0(ext_clk), .c2(int_clk)); assign ram_clk = ~int_clk; endmodule Тогда события внутри ПЛИСа будут разворачиваться следующим образом: 1) в определенный момент времени на выходе PLL возникает восходящий фронт 2) далее сигнал с определенной задержкой доходит до выходного буфера, соответствующего ram_clk 3) на некотором выводе ПЛИСа возникает нисходящий фронт Предположим, я хочу, чтобы фронт на выводе ПЛИС возникал через 2,99-3,00нс после того, как он появляется на выходе PLL. Правильно ли я тогда задаю констрейнты: set_time_format -unit ns -decimal_place 2 derive_clock_uncertainty create_clock -name ext_clk -period 50MHz [get_ports ext_clk] create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 3 -multiply_by 8 -duty_cycle 50.00 -name int_clk {pll|altpll_component|auto_generated|pll1|clk[2]} set_output_delay -clock int_clk -min -2.99 [get_ports ram_clk] set_output_delay -clock int_clk -max -3.00 [get_ports ram_clk] Изменено 4 июня, 2021 пользователем flammmable Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 4 июня, 2021 Опубликовано 4 июня, 2021 · Жалоба Эмм, вы меня простите за прямоту, но вы не пробывали прочитать хотя бы базовые документы по основам временного анализа и TQ? Хотя бы на уровне туториалов и обзорных материалов, про справочники по SDC командам я пока не говорю. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
flammmable 0 4 июня, 2021 Опубликовано 4 июня, 2021 · Жалоба 14 minutes ago, des00 said: Эмм, вы меня простите за прямоту, но вы не пробывали прочитать хотя бы базовые документы по основам временного анализа и TQ? Хотя бы на уровне туториалов и обзорных материалов, про справочники по SDC командам я пока не говорю. Пробовал. Пока что вопросов больше чем ответов. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
dvladim 0 4 июня, 2021 Опубликовано 4 июня, 2021 · Жалоба 5 часов назад, flammmable сказал: Правильно ли я тогда задаю констрейнты: Нет. Читать an433.pdf Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 5 июня, 2021 Опубликовано 5 июня, 2021 · Жалоба 16 hours ago, flammmable said: Пробовал. Пока что вопросов больше чем ответов. Значит читайте несколько раз, пока не поймете. В тетрадке порисуйте, поразмышляйте. Есть в сети материалы и на русском. У вас отсутствует база, судя по вашим последним постам, от слова вообще. Ответы на все ваши текущие вопросы заключены в изучении и понимании базы. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться