Перейти к содержанию
    

Разработка ИС и микроэлектронных устройств

Доброго времени суток! Не увидел отдельную тему, хотел узнать, в каких программах происходит расчёт и в каких разводка интегральных схем. связанное вычисление и моделирование, какие существуют этапы. Как ни странно, в сети по этому поводу не так много сведений можно сходу найти. Для моделирования различных структур можно использовать программу TCAD, а как насчёт принципиальных схем и разработки печатных узлов?

Изменено пользователем Fillya

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Традиционно 3 кита софта для разработки микросхем это Cadence, Synopsis и Mentor Graphics (нынче Siemens EDA). 

Для аналога фактический стандарт это Cadence Virtuoso для создания и моделирования схемы и топологии. Там же Spice-подобный симулятор Spectre/SpectreRF. Для LVS/PEX чаще всего Calibre (Mentor/Siemens). 

Для цифры есть разные варианты, для physical design обычно либо Synopsis Design Compiler, либо Cadence Encounter.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 часов назад, Fillya сказал:

Доброго времени суток! Не увидел отдельную тему, хотел узнать, в каких программах происходит расчёт и в каких разводка интегральных схем. связанное вычисление и моделирование, какие существуют этапы. Как ни странно, в сети по этому поводу не так много сведений можно сходу найти. Для моделирования различных структур можно использовать программу TCAD, а как насчёт принципиальных схем и разработки печатных узлов?

 

Ещё можно вот это попробовать :

https://www.efabless.com/open_shuttle_program

https://www.tadviser.ru/index.php/Продукт:Google_SkyWater_PDK

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

42 минуты назад, Lmx2315 сказал:

Cadence PDK у них только для избранных. Попросите его и увидитесь ответу.

А быть бета-тестером тулов для новичка, это сродни беготни по минному полю.

Начинают с Cadence Virtuoso.

Итого шаг за шагом.

1) Ставят linux redhat 5 или 6. Его дают на пробу с поддержкой на месяц или два.

 После окончания бесплатной поддержки, если все пакеты поставлены, тулы работать будут.

2) Ставят Cadence, пакет Virtuoso. Если для синтеза цифры, то Innovus. 

3) Ставят PDK от фабрики, где хотят сделать запуск чипа. 

4) Читают руководства к PDK и настраивают окружение, согласно инструкции.

Где-то .cdsinit нужно положить в папку с проектом. Где-то сам PDK умный и Wizard запускает для проекта из своего кода.

Если в Linux до этого не работали, первое время может быть тяжко.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Давеча попался на глаза неплохой ресурс. Кратко описаны все этапы их задачи и необходимость, без привязки к тулсету (в основном):

vlsi-backend-adventure

Есть кое-какие небольшие опечатки и неточности, но для старта подойдёт. И да, если нет никакого опыта разработки хотя-бы на FPGA это катастрофически тёмный лес. Боюсь Вы даже не поймёте и половины из описанного.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

предположу, что автору не синопсис с каденсом нужны - а Icarus Verilog, LTSpice (Spice) и т.п. какие-то есть free утилиты и для layout

вот сюда, например

http://opencircuitdesign.com/

 

upd: я например gtkwave использую иногда, то есть имеется и практический смысл в опенцёркитах

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

>а как насчёт принципиальных схем и разработки печатных узлов?

это сюда https://electronix.ru/forum/index.php?app=forums&module=forums&controller=forums&id=80

а из бесплатного есть 

https://www.kicad.org/

http://www.geda-project.org/

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

26.05.2021 в 14:49, dumb сказал:

Традиционно 3 кита софта для разработки микросхем это Cadence, Synopsis и Mentor Graphics (нынче Siemens EDA). 

Для аналога фактический стандарт это Cadence Virtuoso для создания и моделирования схемы и топологии. Там же Spice-подобный симулятор Spectre/SpectreRF. Для LVS/PEX чаще всего Calibre (Mentor/Siemens). 

Для цифры есть разные варианты, для physical design обычно либо Synopsis Design Compiler, либо Cadence Encounter.

 

 

26.05.2021 в 14:56, Lmx2315 сказал:

 

26.05.2021 в 15:37, baumanets сказал:

Cadence PDK у них только для избранных. Попросите его и увидитесь ответу.

А быть бета-тестером тулов для новичка, это сродни беготни по минному полю.

Начинают с Cadence Virtuoso.

Итого шаг за шагом.

1) Ставят linux redhat 5 или 6. Его дают на пробу с поддержкой на месяц или два.

 После окончания бесплатной поддержки, если все пакеты поставлены, тулы работать будут.

2) Ставят Cadence, пакет Virtuoso. Если для синтеза цифры, то Innovus. 

3) Ставят PDK от фабрики, где хотят сделать запуск чипа. 

4) Читают руководства к PDK и настраивают окружение, согласно инструкции.

Где-то .cdsinit нужно положить в папку с проектом. Где-то сам PDK умный и Wizard запускает для проекта из своего кода.

Если в Linux до этого не работали, первое время может быть тяжко.

Благодарю за ответы! Подскажите, а насколько вообще реалистично что-то работающее создать человеку, который знаком с электроникой, но не с микроэлектроникой, т.е. вопрос из разряда, если я не специалист данной области, то насколько "простым" может быть переход в неё или хотя бы разработка чего-то "что будет работать", что-то вроде первых комбинационных схем для ПЛИС, первых программ для МК, первых схем усилителей, фильтров, приёмников. Всё таки область довольно "узкая" и в отличие от разработок, описанных выше, спросить совершенно не у кого, кроме того на коленке схему не спаяешь, а, пусть даже и для себя, сделать пару интегральных схем вроде простых регистров, цифровых или аналоговых схем было бы интересно.

Изменено пользователем Fillya

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Fillya сказал:

если я не специалист данной области, то насколько "простым" может быть переход в неё или хотя бы разработка чего-то "что будет работать", что-то вроде первых комбинационных схем для ПЛИС, первых программ для МК, первых схем усилителей, фильтров, приёмников. ... пусть даже и для себя, сделать пару интегральных схем вроде простых регистров, цифровых или аналоговых схем было бы интересно.

...подрабатывал лет 10 назад в институте каком-то, по договору. И там попросили запустить установку по вакуумному напылению микросхем (если я правильно это называю сейчас). Она стояла пару лет. Это, в геометрии - примерно 2.5х2х2.5м . Там вода подключена, воздух и еще всякая фигня. Документация, к счастью, была. Ну, какая... вот, кто помнит советскую документацию на заводах.

 

...не буду тянуть. Разобрался, на функциональном уровне, нашел конкретный элемент, который, вот, не срабатывает.  С виду это была лампа, но, оказалось, такое советское вакуумное реле. Ни до, ни после не встречал. И реле это было исправно, окислились его контакты (такие же как у обычной лампы). Потер обычной резинкой стирательной, и все заработало.

 

...сейчас не про то, что, вот, козлы, два года не могли... это бог с ним. Когда я был "юношей, обдумывающим житьё", то есть, куда поступать, в какой ВУЗ, решающим стало следующее соображение. Если стать строителем, то мост (чтобы стать под ним) в одиночку я не построю. Какие-то двигатели, турбины разрабатывать - тоже, без станков это нельзя.

 

А вот схемы электроники разной... Здесь я могу сам придумать, купить элементы, спаять, проверить. Всё в моих руках. Не надо вот этой всей громоздкой опосредованности. Инженер-схемотехник - что может быть лучше).

 

...так вот, ТС точно понимает, что значит стать разработчиком микросхем? Это же сплошь технология. То есть, 100% рутина, которой тоже надо кому-то заниматься, но, точно ему?)

Изменено пользователем Michael Michael

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Fillya said:

Подскажите, а насколько вообще реалистично что-то работающее создать человеку

 

профессионально так никто не делает, потому что специализация. ну а для себя - если нет ни сроков, ни каких-то конкурентных требований - в свое удовольствие можно и сделать.

как пример - раньше на этом форуме участвовал SM - он самостоятельно сделал ASIC с процессором и какими-то самодельными аналоговыми узлами (то есть от идеи до GDS-а). причем это не хобби, а коммерческий продукт был. 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

48 минут назад, Michael Michael сказал:

Если стать строителем, то мост (чтобы стать под ним) в одиночку я не построю.

 

49 минут назад, Michael Michael сказал:

Какие-то двигатели, турбины разрабатывать - тоже, без станков это нельзя.

 

 

scale_1200

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, baumanets сказал:

1 час назад, Michael Michael сказал:

...Вы, батенька, троль... ну, или тролль...) В данном случае, по крайней мере.

На что мне плевать, а вот тему ТС засоряете. И может быть, он обратится к администрации, а та проникнется, и вас вздрючат, наконец.

 

...и сайт, куда вы радушно призываете, какой-то левый.

Изменено пользователем Michael Michael

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 часа назад, Fillya сказал:

пусть даже и для себя, сделать пару интегральных схем вроде простых регистров, цифровых или аналоговых схем было бы интересно

А что в вашем понимании "сделать"?

Начальная часть работы - это схемотехника и моделирование. Если аналоговая схема - то транзисторы pmos/nmos и Spice модель. Если цифровая, то Verilog.

Если хотите получить железку, что-то что можно пощупать, то для цифровой схемы - сделанный Verilog - в ПЛИС. Для аналоговой схемы - сделанную схемотехнику - Layout, DRC, LVS, GDSII tapeout и договор с фабрикой на MPW, Assembly. Если хотите ASIC для цифровой схемы, то к предыдущему добавьте Синтез, PnR, CTS, DFT, ATPG, STA.

Вон выше ссылку на efabless давали.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 hours ago, dvladim said:

Синтез, PnR, CTS, DFT, ATPG, STA

Вы несколько утрируете. Данные понятия, как и некоторые упомянутые ранее, справедливы для конкретной области (automotive) либо в сугубо профессиональной области - никак не для своего чипа на бесплатном вафере от Гугла. Но глобально да - много непонятных аббревиатур, причём учесть как временные, так и павер параметры вместе с некой топологией или физическим расположением. На всё это можно наложить сложность "синтеза" тактовой частоты внутри кристалла и отсутствие памятей (в мире АЗИКов человек, создавший работающую скоросную память RAM почти что локальный Бог на фирме).

20 hours ago, Fillya said:

знаком с электроникой, но не с микроэлектроникой, т.е. вопрос из разряда, если я не специалист данной области, то насколько "простым" может быть переход в неё или хотя бы разработка чего-то "что будет работать", что-то вроде первых комбинационных схем для ПЛИС

Судя по начальным данным порог вхождения будет очень высоким. Как минимум можете начать с простейших проектов для ПЛИС на минимальных чипах вплоть до того, чтобы подняться до пороговых частот доступных на чипе (и чтобы всё работало). Вот где-то такие же усилия понаджобятся чтобы сделать свой рабочий АЗИК. Возможно немного больше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...