Перейти к содержанию
    

Замена Siemens (Mentor Graphics) Model (Questa) Sim

Всем добра!

Чем, кроме Active-HDL, можно заменить ПО указанной в теме компании в целях верификации (временной и покрытия)?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а в чем цель замены?

для linux-а существуют NCSIM (он же XCELIUM, он же Incisive) от Каденса и VCS от Синопсиса - эти симуляторы считаются "сайноф", то есть как просимулировалось, так и чип будет работать. но пересаживаться на них с квесты это вобщем-то должно быть мотивированым.

образно говоря, не всегда пересаживаться с запорожца на белаз улучшит жизнь - много дополнительных трудностей.

есть бесплатные симуляторы, типа http://iverilog.icarus.com/

вот табличка, не совсем, по-моему актуальная, но для старта

https://en.wikipedia.org/wiki/List_of_HDL_simulators

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, yes said:

а в чем цель замены?

Применить лицензионное ПО, которое наше ПАО может купить официально. Сименс с нами не дружит.

2 hours ago, yes said:

но пересаживаться на них с квесты это вобщем-то должно быть мотивированым.

для нас будет двойная пересадка: с win на linux и с одного ПО на другое. Но, если другого выхода не будет, то дорогу осилит идущий.
Запрос в https://www.synopsys.com я уже сделал.

3 hours ago, yes said:

есть бесплатные симуляторы, типа http://iverilog.icarus.com/

боюсь, это - не наш вариант. Я не компетентен в вопросе, но есть подозрение, что DO-254, по которому мы работаем, не предполагает использование подобного рода ПО

3 hours ago, yes said:

вот табличка, не совсем, по-моему актуальная, но для старта

за табличку спасибо, но из неё глаз цепляется только за то, что Вы упомянули выше

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

24.03.2021 в 22:27, MaratZuev сказал:

Применить лицензионное ПО, которое наше ПАО может купить официально. Сименс с нами не дружит.

Может вы не так с ними дружите?

На форуме были их представители. Сейчас Mentor могут купить и те, кто якобы "не может его купить по политическим причинам"!

Если что - могу выдать контакты в личку.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 3/24/2021 at 10:27 PM, MaratZuev said:

для нас будет двойная пересадка: с win на linux и с одного ПО на другое. Но, если другого выхода не будет, то дорогу осилит идущий.
Запрос в https://www.synopsys.com я уже сделал.

у каденса тоже есть в Москве представительство - по деньгам они приблизительно одинаково стоят. но каденс это как бы номер 1 в симуляции, синопсис традиционно догоняет

продают они обычно не только сам симулятор, но и кучу дополнительных опций, у синопсиса среда (VERDI) стоит дороже самого симулятора (в котором есть встроенная среда DVE), например. так сразу тяжело рекомендовать, что надо, а что нет, не зная возможностей ПАО :) а представители фирм будут предлагать по максимуму: "без этой опции ничего работать не будет" и т.п. 

еще подозреваю, что в большом pure verilog тестбенче из моделсима будет много гонок фронтов с клоками (ну то есть когда в тестбенче и clk<=~clk, и inp<=inp в один момент - симуляторы это по разному понимают, особенно VCS) 

для борьбы с этим в SV интерфейсы и программы придумали, ну а раньше с #0 шаманили или по разным фронтам клока tb и dut работали...

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 3/26/2021 at 8:05 PM, yes said:

у синопсиса среда (VERDI) стоит дороже самого симулятора

Пара Xcelium с Verdi очень удобны для работы. В принципе, работать можно со всем, но тут прям другой уровень для дебага.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 3/26/2021 at 2:05 PM, yes said:

у каденса тоже есть в Москве представительство - по деньгам они приблизительно одинаково стоят. но каденс это как бы номер 1 в симуляции, синопсис традиционно догоняет

А можно источник этих влажных фантазий? В общем-то VCS заметно быстрее даже на маленьких системах и большим количеством печати (где больше работает не симулятор, а печать). А на больших проектах и c малой подробностью печати он вдвое быстрее. Интерфейс - дело любительское, мне больше нравится именно VCS, не смотря на то, что больше работал (и сейчас работаю) c Xcelium. Поддержка SystemVerilog в VCS опять же заметно лучше: в Xcelium с 19 версии поддержка ограничений рандомизации стала вообще детской - только самые примитивные конструкции поддерживаются, да и до этого использование функций было недетерминированным (VCS и Questa при этом показывают одинаковые результаты как от версии-к-версии, так и результаты ограничений Questa совпадают с резултатами ограничений VCS).

Изменено пользователем one_eight_seven

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 hours ago, one_eight_seven said:

А можно источник этих влажных фантазий? 

личный опыт взаимодействия с зарубежными ДЦ.

ну и если хоть раз общались с представителями каденса / синопсиса - то одни дают в нагрузку симулятор, а другие синтез - как-то загадочно это, не находите :)

------------

VCS традиционно быстрее (можно поискать, я еще лет 10 назад сравнивал на одном тесте и выкладывал). сейчас я не могу ставнить текущие версии, то что видел -  современный VCS быстрее последнего NCSIM-а/incisive  (при высокой оптимизации ес-сно), Xcelium я еще не встречал.

но сейчас идет такая тенденция, что sdf-ы не симулируют. я когда-то возмущался Интелом, которые выкинули такую симуляцию из флоу - а сейчас идут разговоры, что и из АЗИКов ее выкинуть - то есть скорость может и не определяет

 

 

23 hours ago, lexx said:

Пара Xcelium с Verdi очень удобны для работы. В принципе, работать можно со всем, но тут прям другой уровень для дебага.

не путаете с прямым углом? vcs наверно? но много - "но". верди это среда для всего - всяких spyglass-ов и прочего софта. она очень тяжелая и рисуется X-ми (через тырнет, например, приходится иногда) с большим мучением, и каких-то дополнительных удобств в сравнении с симвижином я не увидел

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

22 hours ago, yes said:

не путаете с прямым углом? vcs наверно? но много - "но". верди это среда для всего - всяких spyglass-ов и прочего софта

Не путаю, причем тут vcs, подключаете pli и делаете dump напрямую в fsdb. И причем тут spyglass?  Найдите мне хотя бы одно упоминание про spyglass в брошуре о verdi https://www.synopsys.com/verification/debug/verdi.html. Уберите из него все лишнее и оставьте только IDE

По сравнению с simvision это как Автоваз и Мерседес, он очень удобен, вы наверное просто с ним плотно не работали.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

37 minutes ago, lexx said:

Не путаю, причем тут vcs

vcs это симулятор синопсиса, подключать каденсовский симулятор к среде от синопсиса наверно можно, но как-то стремно.

у них многие "открытые" форматы не всегда совместимы, типа того же saif-а - результаты (количество и место клок-гейтеров) аннотации разные получаются 

и обычно имеется лицензия либо от одного вендора, либо от другого (ну понятно, что могут быть варианты, но все равно - слишком шикарно, по-моему)

--------------

про брошуры - ну вот брошура про спайгласс + верди (я не уверен, что можно распространять, просто картинко)

image.thumb.png.c2fd9f716f8699c13090500bd19efcde.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

35 minutes ago, yes said:

и обычно имеется лицензия либо от одного вендора, либо от другого (ну понятно, что могут быть варианты, но все равно - слишком шикарно, по-моему)

Ну вот у нас как-то так. Симулятор от Cadence,  дебаггер от Synopsys, причем эта пара была еще тогда когда он им не принадлежал.

P.s. меня терзают смутные сомнения, а тот ли verdi ?

image.thumb.png.882147c55031d8be507d1840d6a6da69.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

тот самый

у него и с симулятором есть интересные "статические" фичи - только писать надо не в fsdb, а в какую-то пропиентарную базу kdb (??? не уверен в названии - ключ у vcs есть соответствующий)

и я люблю все-таки с живым симулятором, а не отдельными сигналами чего-то отлаживать, а прогоны уже отлаженных тестов без gui пускать

--------------

и да, сейчас мало занимаюсь, поэтому если даже в verdi что-то и лучше, мне проще симвижином смотреть, потому что "руки помнят". но чего-то такого, чего в верди есть, а в симвижине нет я не увидел

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 minutes ago, yes said:

но чего-то такого, чего в верди есть, а в симвижине нет я не увидел

Каждому свое, в свое время привлек тем, что первым из временных диаграмм может сам показывать (по клику на событие на временной диаграмме) причину изменения сигнала в коде, перепрыгивая по иерархии проекта, при этом в коде также отображается текущее значение сигнала (но это я и у каденса вроде видел). Много разных фич, как для дебага в целом, так и на уровне гейтов. С ним нужно поработать какое-то время и потом уже с него не слезешь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот неплохой обзор симуляторов на хорошем учебном ресурсе
http://www.asic-world.com/verilog/tools.html

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 MaratZuev

В принципе, если выберете Active-HDL то сильно с TCL/BASH скриптами не прийдётся заморачиваться - когда сталкивался c Active-ом, с ним были минимальные изменения для ModelSim-based проекта

Ну и кажется он дешевля чем NCSIM и VCSЮ, правда не такой шустрый..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...