Перейти к содержанию
    

Active-HDL моделирование XILINX RAM

.coe нужен для генератора IP-ядер. В процессе из него делается .mif. Его и надо использовать для симуляции.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, gosha said:

Если из Вашего .coe удалить ВСЕ содержимое - симуляция ok. (пустой файл)

Думаю, формат файла нужен не .coe

не хочет кушать ни пустой .coe ни .mif

это MIF

Spoiler

WIDTH=16;
DEPTH=1024;

ADDRESS_RADIX=HEX;
DATA_RADIX=HEX;

CONTENT BEGIN
    000  :   0000;
    001  :   0001;
    002  :   0002;
    003  :   0003;
    004  :   0004;
    005  :   0005;
    006  :   0006;
    007  :   0007;
    008  :   0008;
    009  :   0009;
    00a  :   000A;
    00b  :   000B;
    00c  :   000C;
    00d  :   000D;
    00e  :   000E;
    00f  :   000F;
    010  :   0010;
    011  :   0011;
    012  :   0012;
    013  :   0013;
    014  :   0014;
    015  :   0015;
    016  :   0016;
    017  :   0017;
    018  :   0018;
    019  :   0019;
    01a  :   001A;
    01b  :   001B;
    01c  :   001C;
    01d  :   001D;
    01e  :   001E;
    01f  :   001F;
    020  :   0020;
    021  :   0021;
    022  :   0022;
    023  :   0023;
    024  :   0024;
    025  :   0025;
    026  :   0026;
    027  :   0027;
    028  :   0028;
    029  :   0029;
    02a  :   002A;
    02b  :   002B;
    02c  :   002C;
    02d  :   002D;
    02e  :   002E;
    02f  :   002F;
    030  :   0030;
    031  :   0031;
    032  :   0032;
    033  :   0033;
    034  :   0034;
    035  :   0035;
    036  :   0036;
    037  :   0037;
    038  :   0038;
    039  :   0039;
    03a  :   003A;
    03b  :   003B;
    03c  :   003C;
    03d  :   003D;
    03e  :   003E;
    03f  :   003F;
    040  :   0040;
    041  :   0041;
    042  :   0042;
    043  :   0043;
    044  :   0044;
    045  :   0045;
    046  :   0046;
    047  :   0047;
    048  :   0048;
    049  :   0049;
    04a  :   004A;
    04b  :   004B;
    04c  :   004C;
    04d  :   004D;
    04e  :   004E;
    04f  :   004F;
    050  :   0050;
    051  :   0051;
    052  :   0052;
    053  :   0053;
    054  :   0054;
    055  :   0055;
    056  :   0056;
    057  :   0057;
    058  :   0058;
    059  :   0059;
    05a  :   005A;
    05b  :   005B;
    05c  :   005C;
    05d  :   005D;
    05e  :   005E;
    05f  :   005F;
    060  :   0060;
    061  :   0061;
    062  :   0062;
    063  :   0063;
    064  :   0064;
    065  :   0065;
    066  :   0066;
    067  :   0067;
    068  :   0068;
    069  :   0069;
    06a  :   006A;
    06b  :   006B;
    06c  :   006C;
    06d  :   006D;
    06e  :   006E;
    06f  :   006F;
    070  :   0070;
    071  :   0071;
    072  :   0072;
    073  :   0073;
    074  :   0074;
    075  :   0075;
    076  :   0076;
    077  :   0077;
    078  :   0078;
    079  :   0079;
    07a  :   007A;
    07b  :   007B;
    07c  :   007C;
    07d  :   007D;
    07e  :   007E;
    07f  :   007F;
    080  :   0080;
    081  :   0081;
    082  :   0082;
    083  :   0083;
    084  :   0084;
    085  :   0085;
    086  :   0086;
    087  :   0087;
    088  :   0088;
    089  :   0089;
    08a  :   008A;
    08b  :   008B;
    08c  :   008C;
    08d  :   008D;
    08e  :   008E;
    08f  :   008F;
    090  :   0090;
    091  :   0091;
    092  :   0092;
    093  :   0093;
    094  :   0094;
    095  :   0095;
    096  :   0096;
    097  :   0097;
    098  :   0098;
    099  :   0099;
    09a  :   009A;
    09b  :   009B;
    09c  :   009C;
    09d  :   009D;
    09e  :   009E;
    09f  :   009F;
    0a0  :   00A0;
    0a1  :   00A1;
    0a2  :   00A2;
    0a3  :   00A3;
    0a4  :   00A4;
    0a5  :   00A5;
    0a6  :   00A6;
    0a7  :   00A7;
    0a8  :   00A8;
    0a9  :   00A9;
    0aa  :   00AA;
    0ab  :   00AB;
    0ac  :   00AC;
    0ad  :   00AD;
    0ae  :   00AE;
    0af  :   00AF;
    0b0  :   00B0;
    0b1  :   00B1;
    0b2  :   00B2;
    0b3  :   00B3;
    0b4  :   00B4;
    0b5  :   00B5;
    0b6  :   00B6;
    0b7  :   00B7;
    0b8  :   00B8;
    0b9  :   00B9;
    0ba  :   00BA;
    0bb  :   00BB;
    0bc  :   00BC;
    0bd  :   00BD;
    0be  :   00BE;
    0bf  :   00BF;
    0c0  :   00C0;
    0c1  :   00C1;
    0c2  :   00C2;
    0c3  :   00C3;
    0c4  :   00C4;
    0c5  :   00C5;
    0c6  :   00C6;
    0c7  :   00C7;
    0c8  :   00C8;
    0c9  :   00C9;
    0ca  :   00CA;
    0cb  :   00CB;
    0cc  :   00CC;
    0cd  :   00CD;
    0ce  :   00CE;
    0cf  :   00CF;
    0d0  :   00D0;
    0d1  :   00D1;
    0d2  :   00D2;
    0d3  :   00D3;
    0d4  :   00D4;
    0d5  :   00D5;
    0d6  :   00D6;
    0d7  :   00D7;
    0d8  :   00D8;
    0d9  :   00D9;
    0da  :   00DA;
    0db  :   00DB;
    0dc  :   00DC;
    0dd  :   00DD;
    0de  :   00DE;
    0df  :   00DF;
    0e0  :   00E0;
    0e1  :   00E1;
    0e2  :   00E2;
    0e3  :   00E3;
    0e4  :   00E4;
    0e5  :   00E5;
    0e6  :   00E6;
    0e7  :   00E7;
    0e8  :   00E8;
    0e9  :   00E9;
    0ea  :   00EA;
    0eb  :   00EB;
    0ec  :   00EC;
    0ed  :   00ED;
    0ee  :   00EE;
    0ef  :   00EF;
    0f0  :   00F0;
    0f1  :   00F1;
    0f2  :   00F2;
    0f3  :   00F3;
    0f4  :   00F4;
    0f5  :   00F5;
    0f6  :   00F6;
    0f7  :   00F7;
    0f8  :   00F8;
    0f9  :   00F9;
    0fa  :   00FA;
    0fb  :   00FB;
    0fc  :   00FC;
    0fd  :   00FD;
    0fe  :   00FE;
    0ff  :   00FF;
    100  :   0100;
    101  :   0101;
    102  :   0102;
    103  :   0103;
    104  :   0104;
    105  :   0105;
    106  :   0106;
    107  :   0107;
    108  :   0108;
    109  :   0109;
    10a  :   010A;
    10b  :   010B;
    10c  :   010C;
    10d  :   010D;
    10e  :   010E;
    10f  :   010F;
    110  :   0110;
    111  :   0111;
    112  :   0112;
    113  :   0113;
    114  :   0114;
    115  :   0115;
    116  :   0116;
    117  :   0117;
    118  :   0118;
    119  :   0119;
    11a  :   011A;
    11b  :   011B;
    11c  :   011C;
    11d  :   011D;
    11e  :   011E;
    11f  :   011F;
    120  :   0120;
    121  :   0121;
    122  :   0122;
    123  :   0123;
    124  :   0124;
    125  :   0125;
    126  :   0126;
    127  :   0127;
    128  :   0128;
    129  :   0129;
    12a  :   012A;
    12b  :   012B;
    12c  :   012C;
    12d  :   012D;
    12e  :   012E;
    12f  :   012F;
    130  :   0130;
    131  :   0131;
    132  :   0132;
    133  :   0133;
    134  :   0134;
    135  :   0135;
    136  :   0136;
    137  :   0137;
    138  :   0138;
    139  :   0139;
    13a  :   013A;
    13b  :   013B;
    13c  :   013C;
    13d  :   013D;
    13e  :   013E;
    13f  :   013F;
    140  :   0140;
    141  :   0141;
    142  :   0142;
    143  :   0143;
    144  :   0144;
    145  :   0145;
    146  :   0146;
    147  :   0147;
    148  :   0148;
    149  :   0149;
    14a  :   014A;
    14b  :   014B;
    14c  :   014C;
    14d  :   014D;
    14e  :   014E;
    14f  :   014F;
    150  :   0150;
    151  :   0151;
    152  :   0152;
    153  :   0153;
    154  :   0154;
    155  :   0155;
    156  :   0156;
    157  :   0157;
    158  :   0158;
    159  :   0159;
    15a  :   015A;
    15b  :   015B;
    15c  :   015C;
    15d  :   015D;
    15e  :   015E;
    15f  :   015F;
    160  :   0160;
    161  :   0161;
    162  :   0162;
    163  :   0163;
    164  :   0164;
    165  :   0165;
    166  :   0166;
    167  :   0167;
    168  :   0168;
    169  :   0169;
    16a  :   016A;
    16b  :   016B;
    16c  :   016C;
    16d  :   016D;
    16e  :   016E;
    16f  :   016F;
    170  :   0170;
    171  :   0171;
    172  :   0172;
    173  :   0173;
    174  :   0174;
    175  :   0175;
    176  :   0176;
    177  :   0177;
    178  :   0178;
    179  :   0179;
    17a  :   017A;
    17b  :   017B;
    17c  :   017C;
    17d  :   017D;
    17e  :   017E;
    17f  :   017F;
    180  :   0180;
    181  :   0181;
    182  :   0182;
    183  :   0183;
    184  :   0184;
    185  :   0185;
    186  :   0186;
    187  :   0187;
    188  :   0188;
    189  :   0189;
    18a  :   018A;
    18b  :   018B;
    18c  :   018C;
    18d  :   018D;
    18e  :   018E;
    18f  :   018F;
    190  :   0190;
    191  :   0191;
    192  :   0192;
    193  :   0193;
    194  :   0194;
    195  :   0195;
    196  :   0196;
    197  :   0197;
    198  :   0198;
    199  :   0199;
    19a  :   019A;
    19b  :   019B;
    19c  :   019C;
    19d  :   019D;
    19e  :   019E;
    19f  :   019F;
    1a0  :   01A0;
    1a1  :   01A1;
    1a2  :   01A2;
    1a3  :   01A3;
    1a4  :   01A4;
    1a5  :   01A5;
    1a6  :   01A6;
    1a7  :   01A7;
    1a8  :   01A8;
    1a9  :   01A9;
    1aa  :   01AA;
    1ab  :   01AB;
    1ac  :   01AC;
    1ad  :   01AD;
    1ae  :   01AE;
    1af  :   01AF;
    1b0  :   01B0;
    1b1  :   01B1;
    1b2  :   01B2;
    1b3  :   01B3;
    1b4  :   01B4;
    1b5  :   01B5;
    1b6  :   01B6;
    1b7  :   01B7;
    1b8  :   01B8;
    1b9  :   01B9;
    1ba  :   01BA;
    1bb  :   01BB;
    1bc  :   01BC;
    1bd  :   01BD;
    1be  :   01BE;
    1bf  :   01BF;
    1c0  :   01C0;
    1c1  :   01C1;
    1c2  :   01C2;
    1c3  :   01C3;
    1c4  :   01C4;
    1c5  :   01C5;
    1c6  :   01C6;
    1c7  :   01C7;
    1c8  :   01C8;
    1c9  :   01C9;
    1ca  :   01CA;
    1cb  :   01CB;
    1cc  :   01CC;
    1cd  :   01CD;
    1ce  :   01CE;
    1cf  :   01CF;
    1d0  :   01D0;
    1d1  :   01D1;
    1d2  :   01D2;
    1d3  :   01D3;
    1d4  :   01D4;
    1d5  :   01D5;
    1d6  :   01D6;
    1d7  :   01D7;
    1d8  :   01D8;
    1d9  :   01D9;
    1da  :   01DA;
    1db  :   01DB;
    1dc  :   01DC;
    1dd  :   01DD;
    1de  :   01DE;
    1df  :   01DF;
    1e0  :   01E0;
    1e1  :   01E1;
    1e2  :   01E2;
    1e3  :   01E3;
    1e4  :   01E4;
    1e5  :   01E5;
    1e6  :   01E6;
    1e7  :   01E7;
    1e8  :   01E8;
    1e9  :   01E9;
    1ea  :   01EA;
    1eb  :   01EB;
    1ec  :   01EC;
    1ed  :   01ED;
    1ee  :   01EE;
    1ef  :   01EF;
    1f0  :   01F0;
    1f1  :   01F1;
    1f2  :   01F2;
    1f3  :   01F3;
    1f4  :   01F4;
    1f5  :   01F5;
    1f6  :   01F6;
    1f7  :   01F7;
    1f8  :   01F8;
    1f9  :   01F9;
    1fa  :   01FA;
    1fb  :   01FB;
    1fc  :   01FC;
    1fd  :   01FD;
    1fe  :   01FE;
    1ff  :   01FF;
    200  :   0200;
    201  :   0201;
    202  :   0202;
    203  :   0203;
    204  :   0204;
    205  :   0205;
    206  :   0206;
    207  :   0207;
    208  :   0208;
    209  :   0209;
    20a  :   020A;
    20b  :   020B;
    20c  :   020C;
    20d  :   020D;
    20e  :   020E;
    20f  :   020F;
    210  :   0210;
    211  :   0211;
    212  :   0212;
    213  :   0213;
    214  :   0214;
    215  :   0215;
    216  :   0216;
    217  :   0217;
    218  :   0218;
    219  :   0219;
    21a  :   021A;
    21b  :   021B;
    21c  :   021C;
    21d  :   021D;
    21e  :   021E;
    21f  :   021F;
    220  :   0220;
    221  :   0221;
    222  :   0222;
    223  :   0223;
    224  :   0224;
    225  :   0225;
    226  :   0226;
    227  :   0227;
    228  :   0228;
    229  :   0229;
    22a  :   022A;
    22b  :   022B;
    22c  :   022C;
    22d  :   022D;
    22e  :   022E;
    22f  :   022F;
    230  :   0230;
    231  :   0231;
    232  :   0232;
    233  :   0233;
    234  :   0234;
    235  :   0235;
    236  :   0236;
    237  :   0237;
    238  :   0238;
    239  :   0239;
    23a  :   023A;
    23b  :   023B;
    23c  :   023C;
    23d  :   023D;
    23e  :   023E;
    23f  :   023F;
    240  :   0240;
    241  :   0241;
    242  :   0242;
    243  :   0243;
    244  :   0244;
    245  :   0245;
    246  :   0246;
    247  :   0247;
    248  :   0248;
    249  :   0249;
    24a  :   024A;
    24b  :   024B;
    24c  :   024C;
    24d  :   024D;
    24e  :   024E;
    24f  :   024F;
    250  :   0250;
    251  :   0251;
    252  :   0252;
    253  :   0253;
    254  :   0254;
    255  :   0255;
    256  :   0256;
    257  :   0257;
    258  :   0258;
    259  :   0259;
    25a  :   025A;
    25b  :   025B;
    25c  :   025C;
    25d  :   025D;
    25e  :   025E;
    25f  :   025F;
    260  :   0260;
    261  :   0261;
    262  :   0262;
    263  :   0263;
    264  :   0264;
    265  :   0265;
    266  :   0266;
    267  :   0267;
    268  :   0268;
    269  :   0269;
    26a  :   026A;
    26b  :   026B;
    26c  :   026C;
    26d  :   026D;
    26e  :   026E;
    26f  :   026F;
    270  :   0270;
    271  :   0271;
    272  :   0272;
    273  :   0273;
    274  :   0274;
    275  :   0275;
    276  :   0276;
    277  :   0277;
    278  :   0278;
    279  :   0279;
    27a  :   027A;
    27b  :   027B;
    27c  :   027C;
    27d  :   027D;
    27e  :   027E;
    27f  :   027F;
    280  :   0280;
    281  :   0281;
    282  :   0282;
    283  :   0283;
    284  :   0284;
    285  :   0285;
    286  :   0286;
    287  :   0287;
    288  :   0288;
    289  :   0289;
    28a  :   028A;
    28b  :   028B;
    28c  :   028C;
    28d  :   028D;
    28e  :   028E;
    28f  :   028F;
    290  :   0290;
    291  :   0291;
    292  :   0292;
    293  :   0293;
    294  :   0294;
    295  :   0295;
    296  :   0296;
    297  :   0297;
    298  :   0298;
    299  :   0299;
    29a  :   029A;
    29b  :   029B;
    29c  :   029C;
    29d  :   029D;
    29e  :   029E;
    29f  :   029F;
    2a0  :   02A0;
    2a1  :   02A1;
    2a2  :   02A2;
    2a3  :   02A3;
    2a4  :   02A4;
    2a5  :   02A5;
    2a6  :   02A6;
    2a7  :   02A7;
    2a8  :   02A8;
    2a9  :   02A9;
    2aa  :   02AA;
    2ab  :   02AB;
    2ac  :   02AC;
    2ad  :   02AD;
    2ae  :   02AE;
    2af  :   02AF;
    2b0  :   02B0;
    2b1  :   02B1;
    2b2  :   02B2;
    2b3  :   02B3;
    2b4  :   02B4;
    2b5  :   02B5;
    2b6  :   02B6;
    2b7  :   02B7;
    2b8  :   02B8;
    2b9  :   02B9;
    2ba  :   02BA;
    2bb  :   02BB;
    2bc  :   02BC;
    2bd  :   02BD;
    2be  :   02BE;
    2bf  :   02BF;
    2c0  :   02C0;
    2c1  :   02C1;
    2c2  :   02C2;
    2c3  :   02C3;
    2c4  :   02C4;
    2c5  :   02C5;
    2c6  :   02C6;
    2c7  :   02C7;
    2c8  :   02C8;
    2c9  :   02C9;
    2ca  :   02CA;
    2cb  :   02CB;
    2cc  :   02CC;
    2cd  :   02CD;
    2ce  :   02CE;
    2cf  :   02CF;
    2d0  :   02D0;
    2d1  :   02D1;
    2d2  :   02D2;
    2d3  :   02D3;
    2d4  :   02D4;
    2d5  :   02D5;
    2d6  :   02D6;
    2d7  :   02D7;
    2d8  :   02D8;
    2d9  :   02D9;
    2da  :   02DA;
    2db  :   02DB;
    2dc  :   02DC;
    2dd  :   02DD;
    2de  :   02DE;
    2df  :   02DF;
    2e0  :   02E0;
    2e1  :   02E1;
    2e2  :   02E2;
    2e3  :   02E3;
    2e4  :   02E4;
    2e5  :   02E5;
    2e6  :   02E6;
    2e7  :   02E7;
    2e8  :   02E8;
    2e9  :   02E9;
    2ea  :   02EA;
    2eb  :   02EB;
    2ec  :   02EC;
    2ed  :   02ED;
    2ee  :   02EE;
    2ef  :   02EF;
    2f0  :   02F0;
    2f1  :   02F1;
    2f2  :   02F2;
    2f3  :   02F3;
    2f4  :   02F4;
    2f5  :   02F5;
    2f6  :   02F6;
    2f7  :   02F7;
    2f8  :   02F8;
    2f9  :   02F9;
    2fa  :   02FA;
    2fb  :   02FB;
    2fc  :   02FC;
    2fd  :   02FD;
    2fe  :   02FE;
    2ff  :   02FF;
    300  :   0300;
    301  :   0301;
    302  :   0302;
    303  :   0303;
    304  :   0304;
    305  :   0305;
    306  :   0306;
    307  :   0307;
    308  :   0308;
    309  :   0309;
    30a  :   030A;
    30b  :   030B;
    30c  :   030C;
    30d  :   030D;
    30e  :   030E;
    30f  :   030F;
    310  :   0310;
    311  :   0311;
    312  :   0312;
    313  :   0313;
    314  :   0314;
    315  :   0315;
    316  :   0316;
    317  :   0317;
    318  :   0318;
    319  :   0319;
    31a  :   031A;
    31b  :   031B;
    31c  :   031C;
    31d  :   031D;
    31e  :   031E;
    31f  :   031F;
    320  :   0320;
    321  :   0321;
    322  :   0322;
    323  :   0323;
    324  :   0324;
    325  :   0325;
    326  :   0326;
    327  :   0327;
    328  :   0328;
    329  :   0329;
    32a  :   032A;
    32b  :   032B;
    32c  :   032C;
    32d  :   032D;
    32e  :   032E;
    32f  :   032F;
    330  :   0330;
    331  :   0331;
    332  :   0332;
    333  :   0333;
    334  :   0334;
    335  :   0335;
    336  :   0336;
    337  :   0337;
    338  :   0338;
    339  :   0339;
    33a  :   033A;
    33b  :   033B;
    33c  :   033C;
    33d  :   033D;
    33e  :   033E;
    33f  :   033F;
    340  :   0340;
    341  :   0341;
    342  :   0342;
    343  :   0343;
    344  :   0344;
    345  :   0345;
    346  :   0346;
    347  :   0347;
    348  :   0348;
    349  :   0349;
    34a  :   034A;
    34b  :   034B;
    34c  :   034C;
    34d  :   034D;
    34e  :   034E;
    34f  :   034F;
    350  :   0350;
    351  :   0351;
    352  :   0352;
    353  :   0353;
    354  :   0354;
    355  :   0355;
    356  :   0356;
    357  :   0357;
    358  :   0358;
    359  :   0359;
    35a  :   035A;
    35b  :   035B;
    35c  :   035C;
    35d  :   035D;
    35e  :   035E;
    35f  :   035F;
    360  :   0360;
    361  :   0361;
    362  :   0362;
    363  :   0363;
    364  :   0364;
    365  :   0365;
    366  :   0366;
    367  :   0367;
    368  :   0368;
    369  :   0369;
    36a  :   036A;
    36b  :   036B;
    36c  :   036C;
    36d  :   036D;
    36e  :   036E;
    36f  :   036F;
    370  :   0370;
    371  :   0371;
    372  :   0372;
    373  :   0373;
    374  :   0374;
    375  :   0375;
    376  :   0376;
    377  :   0377;
    378  :   0378;
    379  :   0379;
    37a  :   037A;
    37b  :   037B;
    37c  :   037C;
    37d  :   037D;
    37e  :   037E;
    37f  :   037F;
    380  :   0380;
    381  :   0381;
    382  :   0382;
    383  :   0383;
    384  :   0384;
    385  :   0385;
    386  :   0386;
    387  :   0387;
    388  :   0388;
    389  :   0389;
    38a  :   038A;
    38b  :   038B;
    38c  :   038C;
    38d  :   038D;
    38e  :   038E;
    38f  :   038F;
    390  :   0390;
    391  :   0391;
    392  :   0392;
    393  :   0393;
    394  :   0394;
    395  :   0395;
    396  :   0396;
    397  :   0397;
    398  :   0398;
    399  :   0399;
    39a  :   039A;
    39b  :   039B;
    39c  :   039C;
    39d  :   039D;
    39e  :   039E;
    39f  :   039F;
    3a0  :   03A0;
    3a1  :   03A1;
    3a2  :   03A2;
    3a3  :   03A3;
    3a4  :   03A4;
    3a5  :   03A5;
    3a6  :   03A6;
    3a7  :   03A7;
    3a8  :   03A8;
    3a9  :   03A9;
    3aa  :   03AA;
    3ab  :   03AB;
    3ac  :   03AC;
    3ad  :   03AD;
    3ae  :   03AE;
    3af  :   03AF;
    3b0  :   03B0;
    3b1  :   03B1;
    3b2  :   03B2;
    3b3  :   03B3;
    3b4  :   03B4;
    3b5  :   03B5;
    3b6  :   03B6;
    3b7  :   03B7;
    3b8  :   03B8;
    3b9  :   03B9;
    3ba  :   03BA;
    3bb  :   03BB;
    3bc  :   03BC;
    3bd  :   03BD;
    3be  :   03BE;
    3bf  :   03BF;
    3c0  :   03C0;
    3c1  :   03C1;
    3c2  :   03C2;
    3c3  :   03C3;
    3c4  :   03C4;
    3c5  :   03C5;
    3c6  :   03C6;
    3c7  :   03C7;
    3c8  :   03C8;
    3c9  :   03C9;
    3ca  :   03CA;
    3cb  :   03CB;
    3cc  :   03CC;
    3cd  :   03CD;
    3ce  :   03CE;
    3cf  :   03CF;
    3d0  :   03D0;
    3d1  :   03D1;
    3d2  :   03D2;
    3d3  :   03D3;
    3d4  :   03D4;
    3d5  :   03D5;
    3d6  :   03D6;
    3d7  :   03D7;
    3d8  :   03D8;
    3d9  :   03D9;
    3da  :   03DA;
    3db  :   03DB;
    3dc  :   03DC;
    3dd  :   03DD;
    3de  :   03DE;
    3df  :   03DF;
    3e0  :   03E0;
    3e1  :   03E1;
    3e2  :   03E2;
    3e3  :   03E3;
    3e4  :   03E4;
    3e5  :   03E5;
    3e6  :   03E6;
    3e7  :   03E7;
    3e8  :   03E8;
    3e9  :   03E9;
    3ea  :   03EA;
    3eb  :   03EB;
    3ec  :   03EC;
    3ed  :   03ED;
    3ee  :   03EE;
    3ef  :   03EF;
    3f0  :   03F0;
    3f1  :   03F1;
    3f2  :   03F2;
    3f3  :   03F3;
    3f4  :   03F4;
    3f5  :   03F5;
    3f6  :   03F6;
    3f7  :   03F7;
    3f8  :   03F8;
    3f9  :   03F9;
    3fa  :   03FA;
    3fb  :   03FB;
    3fc  :   03FC;
    3fd  :   03FD;
    3fe  :   03FE;
    3ff  :   03FF;
END;
 

а какая у Вас версия библиотек Xilinx для Active-HDL ?!

и что говорит доктор по поводу Active-HDL v11.1 ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я могу ошибаться, но кажется .mif файл должен содержать только одиницы и нули. Никакого текста или дополнительных словосочетаний от слова совсем.

1 hour ago, OLD_SHURiK said:

это MIF

  Reveal hidden contents

А вот это - это припроетарная структура от вендора.

Просто нет проекта старого под рукой, чтобы перепроверить. Попробуйте создать файл типа

0000
0001
0100
1001
... и т.д.

или с запятыми в конце каждой строки.

p.s. Ошибся, .mif и вправду указанного выше формата. Тем не менее попробуйте указанный выше формат, иногда помогает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может данные в файле инициализации нужно представлять побайтно, а не в виде 16-ти битных слов, так как у памяти wen имеет размерность [1:0]?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 minutes ago, Nick_K said:

Я могу ошибаться, но кажется .mif файл должен содержать только одиницы и нули. Никакого текста или дополнительных словосочетаний от слова совсем.

 

А вот это - это припроетарная структура от вендора.

Просто нет проекта старого под рукой, чтобы перепроверить. Попробуйте создать файл типа


0000
0001
0100
1001
... и т.д.

или с запятыми в конце каждой строки.

p.s. Ошибся, .mif и вправду указанного выше формата. Тем не менее попробуйте указанный выше формат, иногда помогает.

И у меня такой же .mif

Я выкладывал ранее проект с прикрепленыым .mif сделанным из .coe  топикстартера

Симулируется ?

test_ram_mif.zip 6.63 kB · 0 downloads

 

 

Quote

0000000000000000
0000000000000001
0000000000000010
0000000000000011
0000000000000100
0000000000000101
0000000000000110
0000000000000111
0000000000001000
0000000000001001
0000000000001010
0000000000001011
0000000000001100
0000000000001101
0000000000001110
0000000000001111
0000000000010000
0000000000010001
0000000000010010
0000000000010011
0000000000010100
0000000000010101
0000000000010110
0000000000010111

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 minutes ago, gosha said:

Симулируется ?

У меня нет) Да и задачи такой не стояло.

Мне нужно запихнуть инициализацию памяти и флопов для SPICE симуляции, но это немножко за пределами этой темы)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, OLD_SHURiK said:

не хочет кушать ни пустой .coe ни .mif

это MIF

  Reveal hidden contents

WIDTH=16;
DEPTH=1024;

ADDRESS_RADIX=HEX;
DATA_RADIX=HEX;

CONTENT BEGIN
    000  :   0000;
    001  :   0001;
    002  :   0002;
    003  :   0003;
    004  :   0004;
    005  :   0005;
    006  :   0006;
    007  :   0007;
    008  :   0008;
    009  :   0009;
    00a  :   000A;
    00b  :   000B;
    00c  :   000C;
    00d  :   000D;
    00e  :   000E;
    00f  :   000F;
    010  :   0010;
    011  :   0011;
    012  :   0012;
    013  :   0013;
    014  :   0014;
    015  :   0015;
    016  :   0016;
    017  :   0017;
    018  :   0018;
    019  :   0019;
    01a  :   001A;
    01b  :   001B;
    01c  :   001C;
    01d  :   001D;
    01e  :   001E;
    01f  :   001F;
    020  :   0020;
    021  :   0021;
    022  :   0022;
    023  :   0023;
    024  :   0024;
    025  :   0025;
    026  :   0026;
    027  :   0027;
    028  :   0028;
    029  :   0029;
    02a  :   002A;
    02b  :   002B;
    02c  :   002C;
    02d  :   002D;
    02e  :   002E;
    02f  :   002F;
    030  :   0030;
    031  :   0031;
    032  :   0032;
    033  :   0033;
    034  :   0034;
    035  :   0035;
    036  :   0036;
    037  :   0037;
    038  :   0038;
    039  :   0039;
    03a  :   003A;
    03b  :   003B;
    03c  :   003C;
    03d  :   003D;
    03e  :   003E;
    03f  :   003F;
    040  :   0040;
    041  :   0041;
    042  :   0042;
    043  :   0043;
    044  :   0044;
    045  :   0045;
    046  :   0046;
    047  :   0047;
    048  :   0048;
    049  :   0049;
    04a  :   004A;
    04b  :   004B;
    04c  :   004C;
    04d  :   004D;
    04e  :   004E;
    04f  :   004F;
    050  :   0050;
    051  :   0051;
    052  :   0052;
    053  :   0053;
    054  :   0054;
    055  :   0055;
    056  :   0056;
    057  :   0057;
    058  :   0058;
    059  :   0059;
    05a  :   005A;
    05b  :   005B;
    05c  :   005C;
    05d  :   005D;
    05e  :   005E;
    05f  :   005F;
    060  :   0060;
    061  :   0061;
    062  :   0062;
    063  :   0063;
    064  :   0064;
    065  :   0065;
    066  :   0066;
    067  :   0067;
    068  :   0068;
    069  :   0069;
    06a  :   006A;
    06b  :   006B;
    06c  :   006C;
    06d  :   006D;
    06e  :   006E;
    06f  :   006F;
    070  :   0070;
    071  :   0071;
    072  :   0072;
    073  :   0073;
    074  :   0074;
    075  :   0075;
    076  :   0076;
    077  :   0077;
    078  :   0078;
    079  :   0079;
    07a  :   007A;
    07b  :   007B;
    07c  :   007C;
    07d  :   007D;
    07e  :   007E;
    07f  :   007F;
    080  :   0080;
    081  :   0081;
    082  :   0082;
    083  :   0083;
    084  :   0084;
    085  :   0085;
    086  :   0086;
    087  :   0087;
    088  :   0088;
    089  :   0089;
    08a  :   008A;
    08b  :   008B;
    08c  :   008C;
    08d  :   008D;
    08e  :   008E;
    08f  :   008F;
    090  :   0090;
    091  :   0091;
    092  :   0092;
    093  :   0093;
    094  :   0094;
    095  :   0095;
    096  :   0096;
    097  :   0097;
    098  :   0098;
    099  :   0099;
    09a  :   009A;
    09b  :   009B;
    09c  :   009C;
    09d  :   009D;
    09e  :   009E;
    09f  :   009F;
    0a0  :   00A0;
    0a1  :   00A1;
    0a2  :   00A2;
    0a3  :   00A3;
    0a4  :   00A4;
    0a5  :   00A5;
    0a6  :   00A6;
    0a7  :   00A7;
    0a8  :   00A8;
    0a9  :   00A9;
    0aa  :   00AA;
    0ab  :   00AB;
    0ac  :   00AC;
    0ad  :   00AD;
    0ae  :   00AE;
    0af  :   00AF;
    0b0  :   00B0;
    0b1  :   00B1;
    0b2  :   00B2;
    0b3  :   00B3;
    0b4  :   00B4;
    0b5  :   00B5;
    0b6  :   00B6;
    0b7  :   00B7;
    0b8  :   00B8;
    0b9  :   00B9;
    0ba  :   00BA;
    0bb  :   00BB;
    0bc  :   00BC;
    0bd  :   00BD;
    0be  :   00BE;
    0bf  :   00BF;
    0c0  :   00C0;
    0c1  :   00C1;
    0c2  :   00C2;
    0c3  :   00C3;
    0c4  :   00C4;
    0c5  :   00C5;
    0c6  :   00C6;
    0c7  :   00C7;
    0c8  :   00C8;
    0c9  :   00C9;
    0ca  :   00CA;
    0cb  :   00CB;
    0cc  :   00CC;
    0cd  :   00CD;
    0ce  :   00CE;
    0cf  :   00CF;
    0d0  :   00D0;
    0d1  :   00D1;
    0d2  :   00D2;
    0d3  :   00D3;
    0d4  :   00D4;
    0d5  :   00D5;
    0d6  :   00D6;
    0d7  :   00D7;
    0d8  :   00D8;
    0d9  :   00D9;
    0da  :   00DA;
    0db  :   00DB;
    0dc  :   00DC;
    0dd  :   00DD;
    0de  :   00DE;
    0df  :   00DF;
    0e0  :   00E0;
    0e1  :   00E1;
    0e2  :   00E2;
    0e3  :   00E3;
    0e4  :   00E4;
    0e5  :   00E5;
    0e6  :   00E6;
    0e7  :   00E7;
    0e8  :   00E8;
    0e9  :   00E9;
    0ea  :   00EA;
    0eb  :   00EB;
    0ec  :   00EC;
    0ed  :   00ED;
    0ee  :   00EE;
    0ef  :   00EF;
    0f0  :   00F0;
    0f1  :   00F1;
    0f2  :   00F2;
    0f3  :   00F3;
    0f4  :   00F4;
    0f5  :   00F5;
    0f6  :   00F6;
    0f7  :   00F7;
    0f8  :   00F8;
    0f9  :   00F9;
    0fa  :   00FA;
    0fb  :   00FB;
    0fc  :   00FC;
    0fd  :   00FD;
    0fe  :   00FE;
    0ff  :   00FF;
    100  :   0100;
    101  :   0101;
    102  :   0102;
    103  :   0103;
    104  :   0104;
    105  :   0105;
    106  :   0106;
    107  :   0107;
    108  :   0108;
    109  :   0109;
    10a  :   010A;
    10b  :   010B;
    10c  :   010C;
    10d  :   010D;
    10e  :   010E;
    10f  :   010F;
    110  :   0110;
    111  :   0111;
    112  :   0112;
    113  :   0113;
    114  :   0114;
    115  :   0115;
    116  :   0116;
    117  :   0117;
    118  :   0118;
    119  :   0119;
    11a  :   011A;
    11b  :   011B;
    11c  :   011C;
    11d  :   011D;
    11e  :   011E;
    11f  :   011F;
    120  :   0120;
    121  :   0121;
    122  :   0122;
    123  :   0123;
    124  :   0124;
    125  :   0125;
    126  :   0126;
    127  :   0127;
    128  :   0128;
    129  :   0129;
    12a  :   012A;
    12b  :   012B;
    12c  :   012C;
    12d  :   012D;
    12e  :   012E;
    12f  :   012F;
    130  :   0130;
    131  :   0131;
    132  :   0132;
    133  :   0133;
    134  :   0134;
    135  :   0135;
    136  :   0136;
    137  :   0137;
    138  :   0138;
    139  :   0139;
    13a  :   013A;
    13b  :   013B;
    13c  :   013C;
    13d  :   013D;
    13e  :   013E;
    13f  :   013F;
    140  :   0140;
    141  :   0141;
    142  :   0142;
    143  :   0143;
    144  :   0144;
    145  :   0145;
    146  :   0146;
    147  :   0147;
    148  :   0148;
    149  :   0149;
    14a  :   014A;
    14b  :   014B;
    14c  :   014C;
    14d  :   014D;
    14e  :   014E;
    14f  :   014F;
    150  :   0150;
    151  :   0151;
    152  :   0152;
    153  :   0153;
    154  :   0154;
    155  :   0155;
    156  :   0156;
    157  :   0157;
    158  :   0158;
    159  :   0159;
    15a  :   015A;
    15b  :   015B;
    15c  :   015C;
    15d  :   015D;
    15e  :   015E;
    15f  :   015F;
    160  :   0160;
    161  :   0161;
    162  :   0162;
    163  :   0163;
    164  :   0164;
    165  :   0165;
    166  :   0166;
    167  :   0167;
    168  :   0168;
    169  :   0169;
    16a  :   016A;
    16b  :   016B;
    16c  :   016C;
    16d  :   016D;
    16e  :   016E;
    16f  :   016F;
    170  :   0170;
    171  :   0171;
    172  :   0172;
    173  :   0173;
    174  :   0174;
    175  :   0175;
    176  :   0176;
    177  :   0177;
    178  :   0178;
    179  :   0179;
    17a  :   017A;
    17b  :   017B;
    17c  :   017C;
    17d  :   017D;
    17e  :   017E;
    17f  :   017F;
    180  :   0180;
    181  :   0181;
    182  :   0182;
    183  :   0183;
    184  :   0184;
    185  :   0185;
    186  :   0186;
    187  :   0187;
    188  :   0188;
    189  :   0189;
    18a  :   018A;
    18b  :   018B;
    18c  :   018C;
    18d  :   018D;
    18e  :   018E;
    18f  :   018F;
    190  :   0190;
    191  :   0191;
    192  :   0192;
    193  :   0193;
    194  :   0194;
    195  :   0195;
    196  :   0196;
    197  :   0197;
    198  :   0198;
    199  :   0199;
    19a  :   019A;
    19b  :   019B;
    19c  :   019C;
    19d  :   019D;
    19e  :   019E;
    19f  :   019F;
    1a0  :   01A0;
    1a1  :   01A1;
    1a2  :   01A2;
    1a3  :   01A3;
    1a4  :   01A4;
    1a5  :   01A5;
    1a6  :   01A6;
    1a7  :   01A7;
    1a8  :   01A8;
    1a9  :   01A9;
    1aa  :   01AA;
    1ab  :   01AB;
    1ac  :   01AC;
    1ad  :   01AD;
    1ae  :   01AE;
    1af  :   01AF;
    1b0  :   01B0;
    1b1  :   01B1;
    1b2  :   01B2;
    1b3  :   01B3;
    1b4  :   01B4;
    1b5  :   01B5;
    1b6  :   01B6;
    1b7  :   01B7;
    1b8  :   01B8;
    1b9  :   01B9;
    1ba  :   01BA;
    1bb  :   01BB;
    1bc  :   01BC;
    1bd  :   01BD;
    1be  :   01BE;
    1bf  :   01BF;
    1c0  :   01C0;
    1c1  :   01C1;
    1c2  :   01C2;
    1c3  :   01C3;
    1c4  :   01C4;
    1c5  :   01C5;
    1c6  :   01C6;
    1c7  :   01C7;
    1c8  :   01C8;
    1c9  :   01C9;
    1ca  :   01CA;
    1cb  :   01CB;
    1cc  :   01CC;
    1cd  :   01CD;
    1ce  :   01CE;
    1cf  :   01CF;
    1d0  :   01D0;
    1d1  :   01D1;
    1d2  :   01D2;
    1d3  :   01D3;
    1d4  :   01D4;
    1d5  :   01D5;
    1d6  :   01D6;
    1d7  :   01D7;
    1d8  :   01D8;
    1d9  :   01D9;
    1da  :   01DA;
    1db  :   01DB;
    1dc  :   01DC;
    1dd  :   01DD;
    1de  :   01DE;
    1df  :   01DF;
    1e0  :   01E0;
    1e1  :   01E1;
    1e2  :   01E2;
    1e3  :   01E3;
    1e4  :   01E4;
    1e5  :   01E5;
    1e6  :   01E6;
    1e7  :   01E7;
    1e8  :   01E8;
    1e9  :   01E9;
    1ea  :   01EA;
    1eb  :   01EB;
    1ec  :   01EC;
    1ed  :   01ED;
    1ee  :   01EE;
    1ef  :   01EF;
    1f0  :   01F0;
    1f1  :   01F1;
    1f2  :   01F2;
    1f3  :   01F3;
    1f4  :   01F4;
    1f5  :   01F5;
    1f6  :   01F6;
    1f7  :   01F7;
    1f8  :   01F8;
    1f9  :   01F9;
    1fa  :   01FA;
    1fb  :   01FB;
    1fc  :   01FC;
    1fd  :   01FD;
    1fe  :   01FE;
    1ff  :   01FF;
    200  :   0200;
    201  :   0201;
    202  :   0202;
    203  :   0203;
    204  :   0204;
    205  :   0205;
    206  :   0206;
    207  :   0207;
    208  :   0208;
    209  :   0209;
    20a  :   020A;
    20b  :   020B;
    20c  :   020C;
    20d  :   020D;
    20e  :   020E;
    20f  :   020F;
    210  :   0210;
    211  :   0211;
    212  :   0212;
    213  :   0213;
    214  :   0214;
    215  :   0215;
    216  :   0216;
    217  :   0217;
    218  :   0218;
    219  :   0219;
    21a  :   021A;
    21b  :   021B;
    21c  :   021C;
    21d  :   021D;
    21e  :   021E;
    21f  :   021F;
    220  :   0220;
    221  :   0221;
    222  :   0222;
    223  :   0223;
    224  :   0224;
    225  :   0225;
    226  :   0226;
    227  :   0227;
    228  :   0228;
    229  :   0229;
    22a  :   022A;
    22b  :   022B;
    22c  :   022C;
    22d  :   022D;
    22e  :   022E;
    22f  :   022F;
    230  :   0230;
    231  :   0231;
    232  :   0232;
    233  :   0233;
    234  :   0234;
    235  :   0235;
    236  :   0236;
    237  :   0237;
    238  :   0238;
    239  :   0239;
    23a  :   023A;
    23b  :   023B;
    23c  :   023C;
    23d  :   023D;
    23e  :   023E;
    23f  :   023F;
    240  :   0240;
    241  :   0241;
    242  :   0242;
    243  :   0243;
    244  :   0244;
    245  :   0245;
    246  :   0246;
    247  :   0247;
    248  :   0248;
    249  :   0249;
    24a  :   024A;
    24b  :   024B;
    24c  :   024C;
    24d  :   024D;
    24e  :   024E;
    24f  :   024F;
    250  :   0250;
    251  :   0251;
    252  :   0252;
    253  :   0253;
    254  :   0254;
    255  :   0255;
    256  :   0256;
    257  :   0257;
    258  :   0258;
    259  :   0259;
    25a  :   025A;
    25b  :   025B;
    25c  :   025C;
    25d  :   025D;
    25e  :   025E;
    25f  :   025F;
    260  :   0260;
    261  :   0261;
    262  :   0262;
    263  :   0263;
    264  :   0264;
    265  :   0265;
    266  :   0266;
    267  :   0267;
    268  :   0268;
    269  :   0269;
    26a  :   026A;
    26b  :   026B;
    26c  :   026C;
    26d  :   026D;
    26e  :   026E;
    26f  :   026F;
    270  :   0270;
    271  :   0271;
    272  :   0272;
    273  :   0273;
    274  :   0274;
    275  :   0275;
    276  :   0276;
    277  :   0277;
    278  :   0278;
    279  :   0279;
    27a  :   027A;
    27b  :   027B;
    27c  :   027C;
    27d  :   027D;
    27e  :   027E;
    27f  :   027F;
    280  :   0280;
    281  :   0281;
    282  :   0282;
    283  :   0283;
    284  :   0284;
    285  :   0285;
    286  :   0286;
    287  :   0287;
    288  :   0288;
    289  :   0289;
    28a  :   028A;
    28b  :   028B;
    28c  :   028C;
    28d  :   028D;
    28e  :   028E;
    28f  :   028F;
    290  :   0290;
    291  :   0291;
    292  :   0292;
    293  :   0293;
    294  :   0294;
    295  :   0295;
    296  :   0296;
    297  :   0297;
    298  :   0298;
    299  :   0299;
    29a  :   029A;
    29b  :   029B;
    29c  :   029C;
    29d  :   029D;
    29e  :   029E;
    29f  :   029F;
    2a0  :   02A0;
    2a1  :   02A1;
    2a2  :   02A2;
    2a3  :   02A3;
    2a4  :   02A4;
    2a5  :   02A5;
    2a6  :   02A6;
    2a7  :   02A7;
    2a8  :   02A8;
    2a9  :   02A9;
    2aa  :   02AA;
    2ab  :   02AB;
    2ac  :   02AC;
    2ad  :   02AD;
    2ae  :   02AE;
    2af  :   02AF;
    2b0  :   02B0;
    2b1  :   02B1;
    2b2  :   02B2;
    2b3  :   02B3;
    2b4  :   02B4;
    2b5  :   02B5;
    2b6  :   02B6;
    2b7  :   02B7;
    2b8  :   02B8;
    2b9  :   02B9;
    2ba  :   02BA;
    2bb  :   02BB;
    2bc  :   02BC;
    2bd  :   02BD;
    2be  :   02BE;
    2bf  :   02BF;
    2c0  :   02C0;
    2c1  :   02C1;
    2c2  :   02C2;
    2c3  :   02C3;
    2c4  :   02C4;
    2c5  :   02C5;
    2c6  :   02C6;
    2c7  :   02C7;
    2c8  :   02C8;
    2c9  :   02C9;
    2ca  :   02CA;
    2cb  :   02CB;
    2cc  :   02CC;
    2cd  :   02CD;
    2ce  :   02CE;
    2cf  :   02CF;
    2d0  :   02D0;
    2d1  :   02D1;
    2d2  :   02D2;
    2d3  :   02D3;
    2d4  :   02D4;
    2d5  :   02D5;
    2d6  :   02D6;
    2d7  :   02D7;
    2d8  :   02D8;
    2d9  :   02D9;
    2da  :   02DA;
    2db  :   02DB;
    2dc  :   02DC;
    2dd  :   02DD;
    2de  :   02DE;
    2df  :   02DF;
    2e0  :   02E0;
    2e1  :   02E1;
    2e2  :   02E2;
    2e3  :   02E3;
    2e4  :   02E4;
    2e5  :   02E5;
    2e6  :   02E6;
    2e7  :   02E7;
    2e8  :   02E8;
    2e9  :   02E9;
    2ea  :   02EA;
    2eb  :   02EB;
    2ec  :   02EC;
    2ed  :   02ED;
    2ee  :   02EE;
    2ef  :   02EF;
    2f0  :   02F0;
    2f1  :   02F1;
    2f2  :   02F2;
    2f3  :   02F3;
    2f4  :   02F4;
    2f5  :   02F5;
    2f6  :   02F6;
    2f7  :   02F7;
    2f8  :   02F8;
    2f9  :   02F9;
    2fa  :   02FA;
    2fb  :   02FB;
    2fc  :   02FC;
    2fd  :   02FD;
    2fe  :   02FE;
    2ff  :   02FF;
    300  :   0300;
    301  :   0301;
    302  :   0302;
    303  :   0303;
    304  :   0304;
    305  :   0305;
    306  :   0306;
    307  :   0307;
    308  :   0308;
    309  :   0309;
    30a  :   030A;
    30b  :   030B;
    30c  :   030C;
    30d  :   030D;
    30e  :   030E;
    30f  :   030F;
    310  :   0310;
    311  :   0311;
    312  :   0312;
    313  :   0313;
    314  :   0314;
    315  :   0315;
    316  :   0316;
    317  :   0317;
    318  :   0318;
    319  :   0319;
    31a  :   031A;
    31b  :   031B;
    31c  :   031C;
    31d  :   031D;
    31e  :   031E;
    31f  :   031F;
    320  :   0320;
    321  :   0321;
    322  :   0322;
    323  :   0323;
    324  :   0324;
    325  :   0325;
    326  :   0326;
    327  :   0327;
    328  :   0328;
    329  :   0329;
    32a  :   032A;
    32b  :   032B;
    32c  :   032C;
    32d  :   032D;
    32e  :   032E;
    32f  :   032F;
    330  :   0330;
    331  :   0331;
    332  :   0332;
    333  :   0333;
    334  :   0334;
    335  :   0335;
    336  :   0336;
    337  :   0337;
    338  :   0338;
    339  :   0339;
    33a  :   033A;
    33b  :   033B;
    33c  :   033C;
    33d  :   033D;
    33e  :   033E;
    33f  :   033F;
    340  :   0340;
    341  :   0341;
    342  :   0342;
    343  :   0343;
    344  :   0344;
    345  :   0345;
    346  :   0346;
    347  :   0347;
    348  :   0348;
    349  :   0349;
    34a  :   034A;
    34b  :   034B;
    34c  :   034C;
    34d  :   034D;
    34e  :   034E;
    34f  :   034F;
    350  :   0350;
    351  :   0351;
    352  :   0352;
    353  :   0353;
    354  :   0354;
    355  :   0355;
    356  :   0356;
    357  :   0357;
    358  :   0358;
    359  :   0359;
    35a  :   035A;
    35b  :   035B;
    35c  :   035C;
    35d  :   035D;
    35e  :   035E;
    35f  :   035F;
    360  :   0360;
    361  :   0361;
    362  :   0362;
    363  :   0363;
    364  :   0364;
    365  :   0365;
    366  :   0366;
    367  :   0367;
    368  :   0368;
    369  :   0369;
    36a  :   036A;
    36b  :   036B;
    36c  :   036C;
    36d  :   036D;
    36e  :   036E;
    36f  :   036F;
    370  :   0370;
    371  :   0371;
    372  :   0372;
    373  :   0373;
    374  :   0374;
    375  :   0375;
    376  :   0376;
    377  :   0377;
    378  :   0378;
    379  :   0379;
    37a  :   037A;
    37b  :   037B;
    37c  :   037C;
    37d  :   037D;
    37e  :   037E;
    37f  :   037F;
    380  :   0380;
    381  :   0381;
    382  :   0382;
    383  :   0383;
    384  :   0384;
    385  :   0385;
    386  :   0386;
    387  :   0387;
    388  :   0388;
    389  :   0389;
    38a  :   038A;
    38b  :   038B;
    38c  :   038C;
    38d  :   038D;
    38e  :   038E;
    38f  :   038F;
    390  :   0390;
    391  :   0391;
    392  :   0392;
    393  :   0393;
    394  :   0394;
    395  :   0395;
    396  :   0396;
    397  :   0397;
    398  :   0398;
    399  :   0399;
    39a  :   039A;
    39b  :   039B;
    39c  :   039C;
    39d  :   039D;
    39e  :   039E;
    39f  :   039F;
    3a0  :   03A0;
    3a1  :   03A1;
    3a2  :   03A2;
    3a3  :   03A3;
    3a4  :   03A4;
    3a5  :   03A5;
    3a6  :   03A6;
    3a7  :   03A7;
    3a8  :   03A8;
    3a9  :   03A9;
    3aa  :   03AA;
    3ab  :   03AB;
    3ac  :   03AC;
    3ad  :   03AD;
    3ae  :   03AE;
    3af  :   03AF;
    3b0  :   03B0;
    3b1  :   03B1;
    3b2  :   03B2;
    3b3  :   03B3;
    3b4  :   03B4;
    3b5  :   03B5;
    3b6  :   03B6;
    3b7  :   03B7;
    3b8  :   03B8;
    3b9  :   03B9;
    3ba  :   03BA;
    3bb  :   03BB;
    3bc  :   03BC;
    3bd  :   03BD;
    3be  :   03BE;
    3bf  :   03BF;
    3c0  :   03C0;
    3c1  :   03C1;
    3c2  :   03C2;
    3c3  :   03C3;
    3c4  :   03C4;
    3c5  :   03C5;
    3c6  :   03C6;
    3c7  :   03C7;
    3c8  :   03C8;
    3c9  :   03C9;
    3ca  :   03CA;
    3cb  :   03CB;
    3cc  :   03CC;
    3cd  :   03CD;
    3ce  :   03CE;
    3cf  :   03CF;
    3d0  :   03D0;
    3d1  :   03D1;
    3d2  :   03D2;
    3d3  :   03D3;
    3d4  :   03D4;
    3d5  :   03D5;
    3d6  :   03D6;
    3d7  :   03D7;
    3d8  :   03D8;
    3d9  :   03D9;
    3da  :   03DA;
    3db  :   03DB;
    3dc  :   03DC;
    3dd  :   03DD;
    3de  :   03DE;
    3df  :   03DF;
    3e0  :   03E0;
    3e1  :   03E1;
    3e2  :   03E2;
    3e3  :   03E3;
    3e4  :   03E4;
    3e5  :   03E5;
    3e6  :   03E6;
    3e7  :   03E7;
    3e8  :   03E8;
    3e9  :   03E9;
    3ea  :   03EA;
    3eb  :   03EB;
    3ec  :   03EC;
    3ed  :   03ED;
    3ee  :   03EE;
    3ef  :   03EF;
    3f0  :   03F0;
    3f1  :   03F1;
    3f2  :   03F2;
    3f3  :   03F3;
    3f4  :   03F4;
    3f5  :   03F5;
    3f6  :   03F6;
    3f7  :   03F7;
    3f8  :   03F8;
    3f9  :   03F9;
    3fa  :   03FA;
    3fb  :   03FB;
    3fc  :   03FC;
    3fd  :   03FD;
    3fe  :   03FE;
    3ff  :   03FF;
END;
 

а какая у Вас версия библиотек Xilinx для Active-HDL ?!

и что говорит доктор по поводу Active-HDL v11.1 ?

Quote

Active-HDL_11.1.261.7351_x32_for_Xilinx_VIVADO_2018.3_Libraries.exe    
Active-HDL_11.1.261.7351_x64_for_Xilinx_VIVADO_2018.3_Libraries.exe
Active-HDL_11.1.261.7351_x32_for_Xilinx_VIVADO_2019.2.1_Libraries.exe  
Active-HDL_11.1.261.7351_x64_for_Xilinx_VIVADO_2019.2.1_Libraries.exe
Active-HDL_11.1.261.7351_x32_for_Xilinx_ISE_14.7_Verilog_Libraries.exe.rar                
Active-HDL_11.1.261.7351_x32_for_Xilinx_VIVADO_2019.2_Libraries.exe    
Active-HDL_11.1.263.7352_x64_for_Xilinx_VIVADO_2020.1_Libraries.exe
Active-HDL_11.1.261.7351_x32_for_Xilinx_ISE_14.7_VHDL_Libraries.exe.rar 

 

3 minutes ago, Nick_K said:

У меня нет) Да и задачи такой не стояло.

Мне нужно запихнуть инициализацию памяти и флопов для SPICE симуляции, но это немножко за пределами этой темы)

Т. к. 0_downloads  топикстартер, поленился по- пробовать симульнуть рабочий tb_инициализации RAM.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо за помощь !!!!

Решение задачи найдено тут -> https://forums.xilinx.com/t5/Simulation-and-Verification/Cannot-get-INIT-FILE-to-work-with-elaborator-for-BRAM-SDP-MACRO/td-p/845477

Расширение для файла не имеет значения. Конечный файл выглядит так :

Spoiler

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
 

Ну что сказать ! НЕ СЛОВ !!!!

Ещё раз всем спасибо !!!!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Правда остался вопрос:

Как инициализировать 16 битную память ???!!!!  ((((

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, OLD_SHURiK said:

Правда остался вопрос:

Как инициализировать 16 битную память ???!!!!  ((((

Судя по решению никак:

Quote

You MUST instantiate the BRAM with one of the following port_width's if you want it to be useful: 1, 2, 4, 9, 18, 36, or 72

Инициализировать как 18-ти битную и использовать только 16 бит?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 hours ago, OLD_SHURiK said:

Правда остался вопрос:

Как инициализировать 16 битную память ???!!!!  ((((

Vivado должно сгенерировать файл из .coe за Вас.

Если используете IP, который использует это macro.

Я бы сгенерировал это, чтобы узнать.

Так же перевод строки в файле инициализации памяти в нудных местахповысит читабельность и не повредит инициализации. Не пробовали ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

15 hours ago, gosha said:

Vivado должно сгенерировать файл из .coe за Вас.

Если используете IP, который использует это macro.

Я бы сгенерировал это, чтобы узнать.

Так же перевод строки в файле инициализации памяти в нудных местахповысит читабельность и не повредит инициализации. Не пробовали ?

1. IP его (*.coe)  генерирует. НО! Его не хочет кушать библиотека Xilinx из Active-HDL при моделировании.

2. Перевод строки работает, читаемость улучшилась.

3. Файл переименован в *.mem

4. Vivado прекрасно его принял и синтезировал и промоделировал (без *.coe)!!!

5. Моделирование в Active-HDL  работает.

19 hours ago, attaboy said:

Судя по решению никак:

Инициализировать как 18-ти битную и использовать только 16 бит?

Так и сделал ! )))

Изменено пользователем OLD_SHURiK

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 minutes ago, OLD_SHURiK said:

1. IP его (*.coe)  генерирует. НО! Его не хочет кушать библиотека Xilinx из Active-HDL при моделировании.

 

 

Ну не знаю.

У меня Vivado 2019 из .coe -> генерирует и .mif    и .mem

Mem - ими инициализируется ok.

В каталоге проекта реально файлов с таки расширением нет после синтеза ?

Ну тута, например, из .elf  для microblaze -> .mem:

// Mem file initialization records.
//
// SW Build 2708876 on Wed Nov  6 21:39:14 MST 2019
// Vivado v2019.2 (64-bit)
// Copyright 1986-2019 Xilinx, Inc. All Rights Reserved.
// Created on Saturday March 06, 2021 - 10:33:32 am, from:
//
//     Map file     - /home/gosha/Works/Fpga/WPP_2020/WPP.srcs/sources_1/bd/microblaze/microblaze.bmm
//     Data file(s) - /home/gosha/Works/Fpga/Vitis/WPP/Release/WPP.elf
//
// Address space 'uprocessor_microblaze_i_microblaze_0.uprocessor_microblaze_i_microblaze_0_local_memory_blk_mem_gen_0_ADDR_SPACE', bit lane [31:0].
//
// Bus width = 32 bits, number of bus blocks = 1.

@00000000
    B0000000 B8080050 B0000001 B808BF54 B0000003 B808097C 00000000 00000000
    B0000000 B8080380

@00000014
    B0000003 31A056A8 B0000003 30404838 B0000003 3020D850 B0000000 B9F40260
    80000000 B0000000 B9F451BC 30A30000 B8000000 B0000003 30A056A4 B0000003
    306056A4 88632800 BC03002C B0000000 30600000 BC030020 3021FFE4 F9E10000
    99FC1800 80000000 E9E10000 B60F0008 3021001C B60F0008 80000000 B0000003
    30A056A4 B0000003 306056A4 14651800 10801800 90830001 90840001 10C02000
    90C40041 90C60041 90C60041 90C60041 90C60041 90C60041 90C60041 90C60041
    90C60041 90C60041 90C60041 90C60041 90C60041 90C60041 90C60041 90C60041
    90C60041 90C60041 90C60041 90C60041 90C60041 90C60041 90C60041 90C60041
    90C60041 90C60041 90C60041 90C60041 90C60041 90C60041 90C60041 10C62000
    90C60001 BC06002C B0000000 30600000 BC030020 3021FFE4 F9E10000 99FC1800

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да , VIVADO кушает оба файла !!!

Но кто знал , что для моделирования в Acyive-HDL нужен файл формата *.mem ????

В описаниях про него ни слова !!!

ALTERA - ПРОВЕРЯЕТ РАСШИРЕНИЯ !!! для своих моделей !!!

--

А чем генерируется *.mem  в Vivado ?

Изменено пользователем OLD_SHURiK

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, OLD_SHURiK said:

Да , VIVADO кушает оба файла !!!

Но кто знал , что для моделирования в Acyive-HDL нужен файл формата *.mem ????

В описаниях про него ни слова !!!

ALTERA - ПРОВЕРЯЕТ РАСШИРЕНИЯ !!! для своих моделей !!!

--

А чем генерируется *.mem  в Vivado ?

 

В случае IP:  Vivado само решает: генерить .mem или .mif

И указывает этот файл инициализации в сгенерированном IP_mem_top.vhd

Симулятор Aldec кушает прекрасно тот тип файла, который указало Vivado в IP_mem_top.vhd: (.mem или .mif).

В случае отсутствия указанного в сгенерированном IP файла инициализации RAM, - Aldec выдает ошибку.

Думаю, не хотят, чтобы набирали текст руками.

Можеть, хотят подсадить на зависимость от их IP ?

18-битную MEM только .mif можно проинициализировать, потому что потому что .mif числа в двоичном формате: 000100001000010001

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...