Перейти к содержанию
    

Libero: на что влияет выбор технологии?

23 часа назад, des00 сказал:

т.е. задание стандарта ввод-вывода по умолчанию, позволяет не указывать стандарт для большинства пинов. В противном случае, нужно будет прописывать все)

А если сэмулировать стандарт по умолчанию?

set_property IOSTANDARD LVDS_25 [get_ports *]

А потом переопределить для конкретных ног?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

44 minutes ago, andrew_b said:

А если сэмулировать стандарт по умолчанию?


set_property IOSTANDARD LVDS_25 [get_ports *]

А потом переопределить для конкретных ног?

да можно как угодно делать) эта фича чисто для ленивых разработчиков)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 hours ago, des00 said:

Вот например Для xilinx 7 series в приложении

Спасибо, конечно, но что можно взять из этой таблички для LVCMOSXX, кроме того, какие банки могут по этим стандартам работать?

Вот в моей_любимой_альтере гораздо информативнее для гораздо более древнего max v:

image.thumb.png.2dd8d1eddce554dc96637d0f16acb0c8.png

и, упуская промежуточные, для 

image.thumb.png.dc9d6c433201776c443dfb725b2a9700.png

Рискую показаться тупым, но ... если я установлю для определённого вывода в среде проектирования стандарт одного уровня (скажем LVCMOS1.2), а запитаю банк от другого напряжения (скажем 3.3В): по каким уровням (порогам) будет работать этот вывод?

Да, мне как немцу, надо несколько раз вдолбить, чтоб запомнил.

9 hours ago, des00 said:

для ленивых разработчиков)

Как раз для меня: ленив, но любознателен )

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

20 minutes ago, MaratZuev said:

Рискую показаться тупым, но ... если я установлю для определённого вывода в среде проектирования стандарт одного уровня (скажем LVCMOS1.2), а запитаю банк от другого напряжения (скажем 3.3В): по каким уровням (порогам) будет работать этот вывод?

Да, мне как немцу, надо несколько раз вдолбить, чтоб запомнил.

Производитель не гарантирует работу  пинов при неправильном напряжений питания по сравнению со стандартными, заданными режимами пинов. Поэтому  говорить о каких то уровнях бессмысленно.  
IMHO - выбор и корректное задание  констрейнов режимов работы внешних пинов  это  первое что вы должны сделать при начале работы с FPGA на конкретной платой.    

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 hours ago, MaratZuev said:

Спасибо, конечно, но что можно взять из этой таблички для LVCMOSXX, кроме того, какие банки могут по этим стандартам работать?

вы меня извинте, но у меня даже студенты 3го курса читают внимательнее. там черным по белому написано какое напряжение питание банка(и только оно) нужно для конкретного режима работы.

5 hours ago, MaratZuev said:

Рискую показаться тупым, но ... если я установлю для определённого вывода в среде проектирования стандарт одного уровня (скажем LVCMOS1.2), а запитаю банк от другого напряжения (скажем 3.3В): по каким уровням (порогам) будет работать этот вывод?

ну включите логику здравого смысла, по 3.3 естественно, но при этом драйвер может стоять в режиме работы, не гарантирующем надежность и стабильность работы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, RobFPGA said:

IMHO - выбор и корректное задание  констрейнов режимов работы внешних пинов  это  первое что вы должны сделать при начале работы с FPGA на конкретной платой. 

Я это прекрасно понимаю с самого начала своей работы с ПЛИС, но вопрос-то я задаю другой: есть у меня проект (именно с ним и вожусь), в котором задана технология, не соответствующая тому, что подано извне. Что мне делать: трубить во все колокола, что мы можем поиметь проблемы, или, раз оно как-то работает (а я не понимаю как, и вы мне никто до сих пор, кроме того, что это - неправильно, о чём я и сам, капитан очевидность, давно сообразил), то и, как в анекдоте про программиста, его сына и Солнце, не трогать? Переиначиваю свой интерес: я хочу понимать: как внутри блока ввода-вывода переключаются (программируются) стандарты, что я имею сейчас с этим проектом, у которого запрограммировано по умолчанию 1.5В, а питается он от 3.3В. Вот в чём вопрос, а не в том, как правильно!

15 hours ago, des00 said:

вы меня извинте, но у меня даже студенты 3го курса читают внимательнее. там черным по белому написано какое напряжение питание банка(и только оно) нужно для конкретного режима работы.

Если вашим студентам нужно только питание банка - флаг им в руки, я же хочу знать то, чего в этой таблице нет, а есть в тех, что привёл я. Для меня та таблица была бы бессмысленной, даже когда я был студентом 3-го курса 27 лет назад.

15 hours ago, des00 said:

ну включите логику здравого смысла, по 3.3 естественно, но при этом драйвер может стоять в режиме работы, не гарантирующем надежность и стабильность работы.

Понимаете... я при всём уважении и к Вам и к Робу... но я хотел бы знать не качественные показатели (надежность и стабильность работы), а количественные (какие уровни, пороги и величины нагрузочной способности получатся), если мы скажем А, а сделаем В?

Но сам, по-моему, прихожу к убеждению, что, как дурак, поставил себе задачу из разряда "а что будет, если лизнуть заряженный от сети конденсатор, как в детстве"? Но тогда мы, действительно, были глупыми, и могли себе позволить творить такое, а теперь это можно рассматривать как пустую трату времени или удовлетворение собственного любопытства. Посему я прошу у вас, кто потратил на обсуждение здесь и обучение меня своего времени и сил, и предлагаю закрыть тему. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, MaratZuev сказал:

Я это прекрасно понимаю с самого начала своей работы с ПЛИС, но вопрос-то я задаю другой: есть у меня проект (именно с ним и вожусь), в котором задана технология, не соответствующая тому, что подано извне. Что мне делать: трубить во все колокола, что мы можем поиметь проблемы, или [...] не трогать?

Когда-то тоже задавался этим вопросом. Что делать - задать то, что соответствует реальному положению, об этом уже сказали. Даже если и так всё работает. Даже если ни на что не повлияет. И делается это для того, чтобы уберечь себя от некоторых возможных проблем. Скажем, вот нюансы, которые за вас могла бы проверить среда проектирования и ругнуться при разводке (может быть справедливо не для всех семейств ПЛИС): 

1) совместимость заданных стандартов, если они вдруг разные в пределах одного банка. Например, в банке с питанием 3,3 могут уживаться LVCMOS33 i/o и LVDS вход, но никак не LVDS выход.

2) встроенный терминирующий резистор на LVDS входах можно подключать только при питании банка 2,5В, но нельзя при 3,3В (если в этом же банке окажется что-то LVCMOS33, то выдаст ошибку). Похоже, номинал согласования становится неподходящим.

3) как уже сказали, допустимые токи драйверов в разных режимах могут быть разными.

4) если сильно важны констрейны по i/o, то времянки для разных стандартов отличаются. Совсем ненамного, но тем не менее. К примеру:

image.thumb.png.c4d088098e8ec1ea4735d2777b6aa33a.png

Наверняка есть еще что-то, про что не знаю я, но знает и проверит среда проектирования.  

 

  

1 час назад, MaratZuev сказал:

какие уровни, пороги [...] получатся), если мы скажем А, а сделаем В?

Имхо, они зависят только от реального напряжения питания, а не от заданных настроек.

Изменено пользователем sorok-odin

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 hours ago, sorok-odin said:

3) как уже сказали, допустимые токи драйверов в разных режимах могут быть разными.

Спасибо и Вам. Вот, кстати, по допустимым токам драйвера: правильно ли я понимаю, что при попытке sink or source ток, превышающий эту величину, вывод имеет полное право выйти за границы стандарта?

18 hours ago, sorok-odin said:

Имхо, они зависят только от реального напряжения питания, а не от заданных настроек.

Главное слово - ИМНО, и, хотя я тоже к этому склоняюсь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чтобы понять, как IO block будет вести себя в нестандартных условиях эксплуатации, нужно знать его принципиальную схему, или детальную блок-схему на худой конец (подробнее, чем в data sheets рисуют). А это - чувствительная информация, коммерческий секрет обычно, и чип-мейкер его не заинтересован светить направо и налево.

 

Какая-то информация может быть в исследовательских статьях - чего только не исследуют. Ну, или вы можете сами поисследовать в разных режимах на недорогом Циклончике, и затем поделиться результатами. А в datasheets искать ответ бесперспективно, это за рамками их задач - они призваны описывать поведение в рабочих режимах, и задавать их границы [рабочих режимов].

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/8/2020 at 7:42 PM, MaratZuev said:

Вот, кстати, по допустимым токам драйвера: правильно ли я понимаю, что при попытке sink or source ток, превышающий эту величину, вывод имеет полное право выйти за границы стандарта?

Теоретически - да.

Но я бы рекомендовал заглянуть в IBIS модель (если её предоставляет производитель ПЛИС) и поглядеть цифирьки для крайних случаев (Min/Max) для интересующего режима работы буферов. Можно ещё в HyperLynx промоделировать (погонять ту же IBIS модельку) - поглядеть какие токи/напряжения при каких условиях будут возникать. Иногда, производители ПЛИС делятся и SPICE моделями - тогда моделирование может быть более точным.

Но, думаю, что запредельные случаи (приводящие к необратимым повреждениям ПЛИС) промоделировать, скорее всего, не получится, т.к. пока ещё я не встречал систем моделирования учитывающие локальные перегревы транзисторов и их разрушение.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/9/2020 at 8:38 AM, Raven said:

поисследовать в разных режимах на недорогом Циклончике

Спасибо, конечно, но ... был бы студентом/аспирантом с прицелом на публикации по теме диплома/диссертации - поисследовал бы, а так .... 

On 8/9/2020 at 8:38 AM, Raven said:

и затем поделиться результатами

Думаете, что тут кроме меня в них кто-то заинтересован?

23 hours ago, Beby said:

Можно ещё в HyperLynx промоделировать

Никак до этого инструмента не дойду.

23 hours ago, Beby said:

Иногда, производители ПЛИС делятся и SPICE моделями - тогда моделирование может быть более точным.

Как раз моделировал не так давно схему, которую мечтал смоделировать почти всю свою сознательную жизнь.
Только не смейтесь! )))

Расчёт токоограничивающего резистора для индикаторного светодиода ИПД169.docx

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...