Перейти к содержанию
    

Всем добра!

Работая в Quartus-e (ModelSim-e) я привык "убирать за собой", таким, например, скриптом:

rmdir /s /q db 
rmdir /s /q greybox_tmp
rmdir /s /q incremental_db 
rmdir /s /q output_files
rmdir /s /q "Output files"
rmdir /s /q simulation
rmdir /s /q work 
del /q *.bak
del /q *.dbg
del /q *.ddb
del /q *.done 
del /q *.pin
del /q *.qarlog
del /q *.qdf
del /q *.qws
del /q *.rpt 
del /q *.summary 
del /q *.smsg 

В Libero же я, как новичок, буду долго методом проб и ошибок выяснять, что нужно для проекта, а что - нет.
Кто-то может подсказать, что обязательно оставлять, а что можно безболезненно выкинуть, чтобы глаза не мозолило?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

.gitignore проекта под SF2 в Libero SoC:

# Libero
designer/
synthesis/
tooldata/
simulation/
smartgen/
*.digest
*.def
*~
*.aux
*.log
*.fdb_latexmk
*.fls
*.idx
*.ilg
*.ind
*.synctex.gz
*.toc
*.bak

# Sim files
wave.do
modelsim.ini
*.wlf
/testbench/*/sim/COREFIFO_LIB/
/testbench/*/sim/*.txt
/testbench/*/sim/work

ЗЫ: обычно, когда хочу 100% собрать всё заново грохаю всё, кроме hdl (исходники), component (IP cores), constraint (констрейны и пины), файл проекта .prjx. Можете проверить в отдельной папочке этот метод)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12 hours ago, gosha-z said:

Archive project -> Source files only

Я немного не это просил, но через тернии пробьюсь. Спасибо.

11 hours ago, nice_vladi said:

ЗЫ: обычно, когда хочу 100% собрать всё заново грохаю всё, кроме hdl (исходники), component (IP cores), constraint (констрейны и пины), файл проекта .prjx. Можете проверить в отдельной папочке этот метод)

А вот это как раз то, что нужно. Обязательно попробую. Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

15 hours ago, nice_vladi said:

# Libero designer/ synthesis/ tooldata/ simulation/ smartgen/ *.digest *.def *~ *.aux *.log *.fdb_latexmk *.fls *.idx *.ilg *.ind *.synctex.gz *.toc *.bak

У меня остались пустые каталоги coreconsole и stimulus - их тоже удалить, или они зачем-то нужны, но в моём проекте не используются?

В отчёте (toplevel_placeroute_log.rpt) вижу такие строки:

Design saved to file
project\designer\impl2\toplevel.adb.
Error:  Can't open file

Что за точка в конце имени файла, и почему (именно из-за неё) он не м.б. открыт (файл-то по указанному пути существует!)?

И прошивку не пойму сгенерированную где смотреть?

В исходном проекте она - project\designer\impl1\toplevel.pdb, а тут, в "почищенном" этого *.pdb нигде нет!

Понимаю, что вопросы м.б. ламерские, но однако прошу помочь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, MaratZuev said:

У меня остались пустые каталоги coreconsole и stimulus - их тоже удалить, или они зачем-то нужны, но в моём проекте не используются?

И их туда же =)

1 hour ago, MaratZuev said:

В отчёте (toplevel_placeroute_log.rpt) вижу такие строки:


Design saved to file
project\designer\impl2\toplevel.adb.
Error:  Can't open file

Что за точка в конце имени файла, и почему (именно из-за неё) он не м.б. открыт (файл-то по указанному пути существует!)?

Ну, про точку не знаю. Не встречал. Но НЕ открываться может и по другим причинам, например, сбились пути. Например, из-за того, что проект перенесли из линукса под вин. Было такое. Многие вещи можно проверить, открыв файл .prjx. Там все пути и т.д. Можно даже ручками поправить. Но осторожно и сделав backup)

1 hour ago, MaratZuev said:

И прошивку не пойму сгенерированную где смотреть?

В исходном проекте она - project\designer\impl1\toplevel.pdb, а тут, в "почищенном" этого *.pdb нигде нет!

Понимаю, что вопросы м.б. ламерские, но однако прошу помочь.

Вообще, при необходимости утащить куда-то прошивку я пользовался менюшкой Handoff Design for Production -> Export Bitstream в окне с Design Flow. Там сразу и тип экспортируемой прошивки можно выбрать и место, куда складывать.

А то, что в "почищенном" нет файла битстрима - так это вполне нормально. Вы же всё грохнули и битстрим в т.ч.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 hours ago, nice_vladi said:

Но НЕ открываться может и по другим причинам, например, сбились пути. Например, из-за того, что проект перенесли из линукса под вин. Было такое. Многие вещи можно проверить, открыв файл .prjx. Там все пути и т.д. Можно даже ручками поправить. Но осторожно и сделав backup)

Что-то ломается при такой чистке (даже не правя .prjx):

image.thumb.png.addb560a8fb9497a8007be45429ce07b.png

В то время как исходный ("грязный") проект компилируется без проблем:

image.thumb.png.ebdf8ca0d8372f78d928310cb5afe2b1.png

7 hours ago, nice_vladi said:

Вообще, при необходимости утащить куда-то прошивку я пользовался менюшкой Handoff Design for Production -> Export Bitstream в окне с Design Flow. Там сразу и тип экспортируемой прошивки можно выбрать и место, куда складывать.

Просьба снова ткнуть носом, куда тут надо нажимать?:

image.thumb.png.54d0560a530e54d595755d1808f28012.png

7 hours ago, nice_vladi said:

А то, что в "почищенном" нет файла битстрима - так это вполне нормально. Вы же всё грохнули и битстрим в т.ч.

Немного не так выразился: в почищенном и скомпилённом из почищенного: либо прошивка "не выходит", либо проект не компилируется.
Скорее второе, но какого ребёнка с водой выкинули - как понять?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

30 июля 2020 г. в 17:50, MaratZuev сказал:

Всем добра!

Работая в Quartus-e (ModelSim-e) я привык "убирать за собой", таким, например, скриптом:


rmdir /s /q db 
rmdir /s /q greybox_tmp
rmdir /s /q incremental_db 
rmdir /s /q output_files
rmdir /s /q "Output files"
rmdir /s /q simulation
rmdir /s /q work 
del /q *.bak
del /q *.dbg
del /q *.ddb
del /q *.done 
del /q *.pin
del /q *.qarlog
del /q *.qdf
del /q *.qws
del /q *.rpt 
del /q *.summary 
del /q *.smsg 

 

Спасибо за скрипт, а то я в рукопашную директории лопатил. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 hours ago, MrGalaxy said:

Спасибо за скрипт, а то я в рукопашную директории лопатил.

Пожалуйста, конечно. Стесняюсь тут же спросить: а в ModelSim Вы, наверное, тоже без tcl обходитесь?

45 minutes ago, gosha-z said:

А как это вы так лихо без констрейнов остались?

Ткните, плиз, носом: это Вы где увидели?
И тут же классическое: кто виноват и что делать?

46 minutes ago, gosha-z said:

P.S. Вижу, насколько 12.x отличается от 11.x

Те же самое вопросы и по поводу этого Вашего второго наблюдения.

Со своей стороны могу сказать, что для меня пока видны невооружённым взглядом отличия в методе кодировки прошивок версий 9.0 и 11 (не знаю, с какой начиная).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 часов назад, MaratZuev сказал:

 а в ModelSim Вы, наверное, тоже без tcl обходитесь?

Пользуюсь квартусовским симулятором. Пока не прочувствовал необходимость переходить на Модельсим. :blush:

А вот скрит, Выложенный Вами, должен здорово помочь при постановке на учёт прошивки, когда надо передать в БТД минимальный набор исходников, без ненужного мусора.

Я может и сам бы мог написать подобное, но, во-первых, не додумался, а, во-вторых, Вы уже это сделали.:smile:

 

Чуток изменил Ваш скрипт, всю папку db удалять не надо, там хранится информация о назначенных пинах:

Скрытый текст

rmdir /s /q ".sopc_builder"
rmdir /s /q incremental_db
del /q db\*.html
del /q db\*.cvwf
del /q db\*.txt
del /q db\*.cnf.cdb
del /q db\*.cmp.cdb
del /q db\*.rtlv_sg.cdb
del /q db\*.rtlv_sg_swap.cdb
del /q db\*.sgdiff.cdb
del /q db\*.ddb
del /q db\*.eds_overflow
del /q db\*.cnf.hdb
del /q db\*.cmp.hdb
del /q db\*.pre_map.hdb
del /q db\*.rtlv.hdb
del /q db\*.sgdiff.hdb
del /q db\*.sim.hdb
del /q db\*.hier_info
del /q db\*.hif
del /q db\*.logdb
del /q db\*.qmsg
del /q db\*.qrpt
del /q db\*.rdb
del /q db\*.sld_design_entry_dsc.sci
del /q db\*.syn_hier_info
del /q db\*.tdb
del /q db\*.tdf
del /q db\*.tmw_info
del /q db\*.xml
del /q db\*.pre_map.cdb
del /q db\*.wsf
del /q *.bak
del /q *.dbg
del /q *.ddb
del /q *.done
del /q *.pin
del /q *.qarlog
del /q *.qdf
#del /q *.qws
del /q *.rpt
del /q *.summary
del /q *.smsg

 

 

Изменено пользователем MrGalaxy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 hours ago, MrGalaxy said:

Пользуюсь квартусовским симулятором. Пока не прочувствовал необходимость переходить на Модельсим. :blush:

Мосье знает толк в извращениях =))

10 hours ago, MrGalaxy said:

Чуток изменил Ваш скрипт, всю папку db удалять не надо, там хранится информация о назначенных пинах

 

 

Это очень странно... Квартус хранит информацию о размещении пинов, их стандарте и т.д. в .qsf файле. Ну, или в .tcl скриптах, которые запускаются из .qsf (это любят их инженеры делать в design example'ах). Но уж никак не в папке /db

23 hours ago, MaratZuev said:

Что-то ломается при такой чистке (даже не правя .prjx):

...

В то время как исходный ("грязный") проект компилируется без проблем:

...

Вас не смущает, что пути в обоих проектах отличаются? В частности, ошибка указывает на диск F:\, а на другом скрине все пути ведут на диск C:\. Скорее всего, где-то тут собака порылась.

23 hours ago, MaratZuev said:

image.thumb.png.54d0560a530e54d595755d1808f28012.png

Немного не так выразился: в почищенном и скомпилённом из почищенного: либо прошивка "не выходит", либо проект не компилируется.
Скорее второе, но какого ребёнка с водой выкинули - как понять?

Да, всё так, жмакаете Export Programming File. Откроется менюшка с настройками. И там всё по вашему вкусу настраиваете.

18 hours ago, MaratZuev said:

Ткните, плиз, носом: это Вы где увидели?
И тут же классическое: кто виноват и что делать?

Есть очень тонкий момент с констрейнами и пинами.

При определенных обстоятельствах (точно не помню, при каких) Libero "забывает" файл пинов и компилирует проект, назначая все входные/выходные сигналы на какие-нибудь рандомные пины. При этом никаких явных ошибок не показывает (мб, что-то в глубине логов и закопано, не знаю). В общем, при сборке проекта стоит убедиться, что к проекту подключен файл .sdc и Libero его использует.

Можно проверить нажав на него ПКМ -> Use for compile, Use for synthesis. Точное название пунктов меню не помню, но увидите - поймёте. Так же, в одном из репортов place and route есть список связей пин-сигнал. В него тоже можно заглянуть.

В свое время дня три убил, пытаясь понять, что не так со 100% рабочим проектом. Оказалось, дело в этом, и все сигналы были хаотично раскиданы по пинам.

18 hours ago, MaratZuev said:

Со своей стороны могу сказать, что для меня пока видны невооружённым взглядом отличия в методе кодировки прошивок версий 9.0 и 11 (не знаю, с какой начиная).

В 12 версии они неплохо поработали над интерфейсом и в целом, по design flow прошлись: что-то соптимизировали, что-то причесали. Можете полистать release notes, для интереса. Так же, начиная с 12й версии полностью убрали режим описания констрейнов classic (вроде, так назывался) и оставили только новый режим, с мастером констрейнов.

 

ЗЫ. В целом, политика microsemi по отношению к своему софту импонирует. Все выглядит, что как будто "для людей" стараются. Функционально, с хорошими манулами, без кучи рюшечек. Но работы все равно еще много =)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 hours ago, MrGalaxy said:

Пользуюсь квартусовским симулятором. Пока не прочувствовал необходимость переходить на Модельсим. :blush:

Я, когда поездил на медресесе (ModelSim-е), на жигули (симулятор Quartus-a) обратно переходить захотел. 
Тем более, что под капотом-то у жигулей мотор того же самого медресеса ))

13 hours ago, MrGalaxy said:

Чуток изменил Ваш скрипт, всю папку db удалять не надо, там хранится информация о назначенных пинах:

Нет, информация о пинах и вообще всём остальном из проекта (ну, кроме, временных ограничениях, которые хранятся в *.sdc) хранится в *.qsf - quartus settings file

Так что db смело удаляем. Поверьте: скрипт проверен мною на протяжении многих лет.

3 hours ago, nice_vladi said:

Ну, или в .tcl скриптах, которые запускаются из .qsf (это любят их инженеры делать в design example'ах).

Я запускаю пару таких скриптов из .qsf: один мне дату и время текущее варганит, чтобы я их в проект вкрутил, и из проекта можно было найти концы именно этой прошивки (ещё бы я умел svn пользоваться, но, видать, не в этой жизни), а второй (скрипт) тупо копирует сгенерённую прошивку (*pof) из output_files в корень, чтобы потом и прошивка с проектом вместе хранилась (output_files, напомню, убивается батником чистки).

3 hours ago, nice_vladi said:

Вас не смущает, что пути в обоих проектах отличаются? В частности, ошибка указывает на диск F:\, а на другом скрине все пути ведут на диск C:\. Скорее всего, где-то тут собака порылась.

Скорее всего один проект я с одного ПК запускал, второй - с второго. Сейчас переделал всё с одного и того же ПК.

Вот "необкоцанный" проект:

image.thumb.png.c1c8e461c9b7d0fe77979d55980f6f6e.png

"Оскоплённый" же проект на моменте Compile падает:

image.thumb.png.b2b8096d6a20d0397288a0e4981cc00c.png

4 hours ago, nice_vladi said:

Да, всё так, жмакаете Export Programming File. Откроется менюшка с настройками. И там всё по вашему вкусу настраиваете.

Что-то не выходит:

image.thumb.png.ca7535eb798ceff3ee5d502c711f332e.png

4 hours ago, nice_vladi said:

При определенных обстоятельствах (точно не помню, при каких) Libero "забывает" файл пинов и компилирует проект, назначая все входные/выходные сигналы на какие-нибудь рандомные пины. При этом никаких явных ошибок не показывает (мб, что-то в глубине логов и закопано, не знаю). В общем, при сборке проекта стоит убедиться, что к проекту подключен файл .sdc и Libero его использует.

Наверное, не *.sdc, а *.pdc?

4 hours ago, nice_vladi said:

Можно проверить нажав на него ПКМ -> Use for compile, Use for synthesis. Точное название пунктов меню не помню, но увидите - поймёте.

Вы про это?. Если да, то тут таких пунктов нет. М.б. они только в 12.х версии появились?:

image.thumb.png.cf05045e908f0e3a7b9c20654cb2b74a.png

4 hours ago, nice_vladi said:

Так же, в одном из репортов place and route есть список связей пин-сигнал. В него тоже можно заглянуть.

Если Вы про эти отчёты, то вот одним списком я такого не нашёл. Есть что-то про глобальные сигналы, да и всё. Куда остальные делись: не понятно

image.thumb.png.7158cf84e974bc8ad9671005e38db4d5.png

4 hours ago, nice_vladi said:

В свое время дня три убил, пытаясь понять, что не так со 100% рабочим проектом.

Вангую, что убью уйму времени даже с Вашей (скромно потупив глаза) помощью, чем начну что-то соображать в этом, не родном мне инструменте.
Есть мысль раскопать древние журналы КиТ с ликбезом по Либеро версии так (ЕМНИП) 8.х и начать оттуда, хотя, не уверен, что это - лучший путь.

4 hours ago, nice_vladi said:

В 12 версии они неплохо поработали над интерфейсом и в целом, по design flow прошлись: что-то соптимизировали, что-то причесали. Можете полистать release notes, для интереса. Так же, начиная с 12й версии полностью убрали режим описания констрейнов classic (вроде, так назывался) и оставили только новый режим, с мастером констрейнов.

На 12-ую переходить не отваживаюсь, ибо и так на 11-ой, на которой изначальный проект был собран, концы с концами свести не могу.
Вносить ещё одну неизвестную считаю преждевременным.

4 hours ago, nice_vladi said:

ЗЫ. В целом, политика microsemi по отношению к своему софту импонирует.

MIcrochip, Вы хотели сказать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 hours ago, nice_vladi said:

Так же, начиная с 12й версии полностью убрали режим описания констрейнов classic (вроде, так назывался) и оставили только новый режим, с мастером констрейнов.

??? Создал новый .sdc, открыл его в text editor - правь как душе угодно...

 

4 hours ago, nice_vladi said:

Все выглядит, что как будто "для людей" стараются.

Кому как. Я вот до сих пор с Instrumentor'ом не подружился - как-то у него все по-другому по сравнению с Vivado'вским ILA. На переходе 12.3->12.4 убрали Memory Map для Bus Interfaces под каким-то странным предлогом. При создании корки из стандартных интерфейсов предлагаются только Memory Mapped, AXI-Stream в DMA контроллере сделан левым пальцем через правое ухо...

6 minutes ago, MaratZuev said:

Вот "необкоцанный" проект:

Зеленые галочки на констрейнах вместо красных стопов ни на какие мысли не наводят?

7 minutes ago, MaratZuev said:

MIcrochip, Вы хотели сказать?

Это совсем новейшая история. Даже в варианте Microsemi торчат уши Actel.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, gosha-z said:

Зеленые галочки на констрейнах вместо красных стопов ни на какие мысли не наводят?

Ну top_level.sdc, хоть убейте, не понимаю, откуда вылазит: он и на исходном проекте с красной галочкой, а вот остальные я включил в "почищенный" проект, но счастья это не привнесло:

image.thumb.png.265af108177cda03421667231cb55f23.png

Что за скрипт такой, который нужен Libero?
Вот его содержимое в исходном проекте:

report -type "pin" -listby  "name" {F:\ksk2\designer\impl1\toplevel_report_pin_byname.txt}
report -type "pin" -listby  "number" {F:\ksk2\designer\impl1\toplevel_report_pin_bynumber.txt}

Кто его генерит и откуда?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...