gosha-z 2 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба Приветствую! Проект на Zynq-7000. Имеем такие constraints: create_clock -name clk_fpga_0 -period 10 [get_pins {system/bd_top_i/cpu/inst/PS7_i/FCLKCLK[0]}] set_clock_groups -name all_async -asynchromous -group [get_clocks -include_generated_clocks clk_fpga_0] -group [get_clocks -include_generated_clocks clk51_25_in] Выдается сообщение об ошибке: [Vivado 12-4729] set_clock_groups: No valid object(s) found for '-group[get_clocks -include_generated_clocks clk_fpga_0]'. Не могу понять почему. Скорее всего, что-то не так с созданием клока, но что именно? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба Synthesis - Open Synthesized Deisgn - Report Clock Networs/Interaction Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
gosha-z 2 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба Забыл сказать: в Clock Networks Report он есть. И он там есть даже без create_clock, ибо он описан в .xdc для корки Zynq. Но 12-4729 все равно присутствует. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Nick_K 0 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба Первое : если клок есть в корке, его не нужно объявлять повторно - это ничего не даст, а случайных ошибок наделать можно. Второе: объявление -include_generated_clocks не обязательно, а может быть и вредно. Оно может мыскировать место реального несоответствия. И третье: попробуйте создать нужный вам констрейн через IDE. Иногда там даже проще разобраться и настроить нужное, чем бродить в потёмках недопонимания или опечаток Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
ZwergNase 0 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба 5 hours ago, gosha-z said: set_clock_groups -name all_async -asynchromous Здесь, похоже опечатка. Надо -asynchronous Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
gosha-z 2 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба 1 minute ago, Zwerg_nase said: Здесь, похоже опечатка. Сообщение руками набивал, а не копипастил, ибо Vivado на другом компе. Сути это не меняет. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
ZwergNase 0 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба Мне кажется, что проблема не в clk_fpga_0 а именно в конструкции -group [get_clocks -include_generated_clocks clk_fpga_0] Если вы напишете set_clock_groups -name all_async -asynchronous -group [get_clocks clk_fpga_0] -group [get_clocks clk51_25_in] то этой ошибки не будет. Возможно Вивада думает, что у вас внутри -group [...] не одна сущность, как должно быть, а две Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
gosha-z 2 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба 55 minutes ago, Zwerg_nase said: не одна сущность, как должно быть А где это сказано, что там должна быть одна сущность??? Использование множественного числа как бы намекает на обратное... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
gosha-z 2 23 июня, 2020 Опубликовано 23 июня, 2020 · Жалоба 6 hours ago, Nick_K said: попробуйте создать нужный вам констрейн через IDE Попробовал. Он нашел клоки по именам, засунул их в target constraints file (без include_generated_clocks), а потом при синтезе доблестно не нашел clk_fpga_0. У меня фантазия иссякла... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Nick_K 0 24 июня, 2020 Опубликовано 24 июня, 2020 · Жалоба 6 hours ago, gosha-z said: доблестно не нашел clk_fpga_0 А вот это странно. Для правильного синтеза все объявленые клоки как минимум должны синтезироваться и должны быть "видны" в проекте. Второй вариант прогнать synthesis и посмотреть статистику констрейнов там. А уже и имеющимся именам в результате синтеза констрейнам привязывать свои. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
blackfin 16 24 июня, 2020 Опубликовано 24 июня, 2020 · Жалоба 16 hours ago, gosha-z said: Скорее всего, что-то не так с созданием клока, но что именно? Клок clk_fpga_0 второй раз создавать не нужно. Вот так попробуйте: create_clock -name clk51_25_in -period 40 [get_ports clk51_25_in] set_clock_groups -name all_async -asynchronous -group clk_fpga_0 -group clk51_25_in Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
blackfin 16 24 июня, 2020 Опубликовано 24 июня, 2020 · Жалоба PS. И у вас ошибка в слове asynchronous. PPS. Пардон, это уже было.. :) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
gosha-z 2 24 июня, 2020 Опубликовано 24 июня, 2020 · Жалоба 2 hours ago, blackfin said: Вот так попробуйте Проблема не в написании - к clk51_25_in претензий нет... Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
blackfin 16 24 июня, 2020 Опубликовано 24 июня, 2020 · Жалоба 3 minutes ago, gosha-z said: Проблема не в написании Ну вот у меня в проекте на Zynq'е именно такой файл констрейнтов и все синтезируется без ошибок. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
gosha-z 2 24 июня, 2020 Опубликовано 24 июня, 2020 · Жалоба 1 minute ago, blackfin said: именно такой файл С написанием без квадратных скобок? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться