Перейти к содержанию
    

Приветствую!

Проект на Zynq-7000. Имеем такие constraints:

create_clock -name clk_fpga_0 -period 10 [get_pins {system/bd_top_i/cpu/inst/PS7_i/FCLKCLK[0]}]

set_clock_groups -name all_async -asynchromous -group [get_clocks -include_generated_clocks clk_fpga_0] -group [get_clocks -include_generated_clocks clk51_25_in]

Выдается сообщение об ошибке:

[Vivado 12-4729] set_clock_groups: No valid object(s) found for '-group[get_clocks -include_generated_clocks clk_fpga_0]'.

Не могу понять почему. Скорее всего, что-то не так с созданием клока, но что именно?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Забыл сказать: в Clock Networks Report он есть. И он там есть даже без create_clock, ибо он описан в .xdc для корки Zynq. Но 12-4729 все равно присутствует.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Первое если клок есть в корке, его не нужно объявлять повторно - это ничего не даст, а случайных ошибок наделать можно.
Второе: объявление 

-include_generated_clocks

не обязательно, а может быть и вредно. Оно может мыскировать место реального несоответствия.

И третье: попробуйте создать нужный вам констрейн через IDE. Иногда там даже проще разобраться и настроить нужное, чем бродить в потёмках недопонимания или опечаток

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 hours ago, gosha-z said:

set_clock_groups -name all_async -asynchromous

 

Здесь, похоже опечатка. 

Надо -asynchronous

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 minute ago, Zwerg_nase said:

Здесь, похоже опечатка. 

Сообщение руками набивал, а не копипастил, ибо Vivado на другом компе. Сути это не меняет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мне кажется, что проблема не в 

clk_fpga_0

а именно в конструкции

-group [get_clocks -include_generated_clocks clk_fpga_0]

Если вы напишете

set_clock_groups -name all_async -asynchronous -group [get_clocks clk_fpga_0] -group [get_clocks clk51_25_in]

то этой ошибки не будет. 

Возможно Вивада думает, что у вас внутри -group [...] не одна сущность, как должно быть, а две 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

55 minutes ago, Zwerg_nase said:

не одна сущность, как должно быть

А где это сказано, что там должна быть одна сущность??? Использование множественного числа как бы намекает на обратное...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 hours ago, Nick_K said:

попробуйте создать нужный вам констрейн через IDE

Попробовал. Он нашел клоки по именам, засунул их в target constraints file (без include_generated_clocks), а потом при синтезе доблестно не нашел clk_fpga_0. У меня фантазия иссякла...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 hours ago, gosha-z said:

доблестно не нашел clk_fpga_0

А вот это странно. Для правильного синтеза все объявленые клоки как минимум должны синтезироваться и должны быть "видны" в проекте.

Второй вариант прогнать synthesis и посмотреть статистику констрейнов там. А уже и имеющимся именам в результате синтеза констрейнам привязывать свои.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, gosha-z said:

Скорее всего, что-то не так с созданием клока, но что именно?

Клок clk_fpga_0 второй раз создавать не нужно.

 

Вот так попробуйте:

create_clock -name clk51_25_in -period 40 [get_ports clk51_25_in]

set_clock_groups -name all_async -asynchronous -group clk_fpga_0 -group clk51_25_in

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 minutes ago, gosha-z said:

Проблема не в написании

Ну вот у меня в проекте на Zynq'е именно такой файл констрейнтов и все синтезируется без ошибок.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...