Перейти к содержанию
    

Здравствуйте.

Пытаюсь на stratix10 cделать ядро 10g base-r.

Для начала сгенерировал трансивер для 10g-base-r, сгенерировал ATX PLL, сгенерировал reset controller.

ATX PLL выдает один клок - tx_serial_clk0(5156.25МГц) для трансивера.

Мне не понятно откуда мне притянуть в трансивер следующие клоки:

1: rx_cdr_refclk0 (644.53125МГц) - это отдельный пин, или надо ставить pll, или вытащить откуда-то?

2: tx_coreclkin (156.25МГц) и rx_coreclkin (156.25МГц) - эти клоки надо сделать из tx_clkout и rx_clkout, только не пойму как правильно это сделать, через pll?

Подскажите пожалуйста, с альтерой раньше не работал.

Благодарю.

 

ps.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

644 частота внешняя извне. Как правило, карты сопровождаются pll какой нибудь SiXXX, с них подается 644 (преждевременно сконфигурировав). Можно подать и c PLL самого стратикса, если получится уместить логику всю в ближнем банке, но джиттер будет не тот, в связи с этим и стабильность под нагрузкой

156 берется из 644 с помощью PLL самого стратикса.

Прошу прощение, не увидел приставку cdr на 644 у вас. CDR - clock data recovery. Там есть целый раздел про этот клок

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, new123 said:

156 берется из 644 с помощью PLL самого стратикса.

Благодарю.

1 hour ago, new123 said:

Прошу прощение, не увидел приставку cdr на 644 у вас. CDR - clock data recovery. Там есть целый раздел про этот клок

Что такое CDR - я понимаю.

И все равно не понимаю, откуда взять reference clock для CDR.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И еще вопрос, можно ли в quartus(у меня 18.1) сгенерировать библиотеки для симулятора active-hdl? 

Если да, то как?

Благодарю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сейчас, увы, под руками нет версии Pro.

Посмотрите - в есть ли в меню Tools пункт Launch Simulation Library Compiler ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

44 minutes ago, StewartLittle said:

Сейчас, увы, под руками нет версии Pro.

Посмотрите - в есть ли в меню Tools пункт Launch Simulation Library Compiler ?

Да есть, благодарю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, Kostochkin said:

И все равно не понимаю, откуда взять reference clock для CDR.

посмотрю уже завтра только.

Еще такая же тема насчет CDR есть прям на этом форуме

Вообще насколько помню, доки на десятку крайне скудные. На пятую серию их гораздо больше, но инфа сильно раздроблена. Я вообще, делая подобное на пятой серии, собирал все все доки по пятой и десятой серии и аккумулировал инфу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 4/4/2020 at 5:16 AM, Kostochkin said:

...

Для начала сгенерировал трансивер для 10g-base-r, сгенерировал ATX PLL, сгенерировал reset controller.

Подскажите пожалуйста, с альтерой раньше не работал.

...

Попробуйте поискать что-то типа stratix10 xcvr example design  на официальном сайте intel, или по интернету. Или даже example designs для arria10/cyclone5, у них, в целом, очень схожи ip core трансиверов.

Когда я запускал трансиверы эти example designs были просто спасением - начальная точка, от которой можно оттолкнуться.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 hours ago, new123 said:

Еще такая же тема насчет CDR есть прям на этом форуме

Благодарю, нашел.

6 hours ago, nice_vladi said:

Попробуйте поискать что-то типа stratix10 xcvr example design  на официальном сайте intel, или по интернету. Или даже example designs для arria10/cyclone5, у них, в целом, очень схожи ip core трансиверов.

Когда я запускал трансиверы эти example designs были просто спасением - начальная точка, от которой можно оттолкнуться.

Благодарю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Опять вопрос.

Есть плата со stratix10 и qsfp28.

Надо реализовать 10g-base-r. Как это физически сделать?

Как я это понимаю:

Вместо qsfp28 вставить sfp+ b и использовать одну диф. пару вместо 4.

В трансивере надо указать какую именно диф. пару я использую.

Правильно?

Благодарю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть переходники QSFP в который вставляется модуль SFP+, соответственно используется 1 канал из 4-х. Можно еще использовать кабель QSFP в 4*SFP+

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12 minutes ago, negiin said:

Есть переходники QSFP в который вставляется модуль SFP+, соответственно используется 1 канал из 4-х. Можно еще использовать кабель QSFP в 4*SFP+

qsfp и qsfp28 немного разные штуки. В природе существует кабель qsfp28 --> qsfp и потом уже из qsfp в 4 x SFP. Но как это будет работать и разложить правильно по трансиверам, наверное только опытным путем

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мне кажется qsfp28 совместим с  qsfp по механике, но имеет большую скорость. Поэтому можно взять кабель qsfp в 4 x SFP+ и установить его в разъем QSFP28.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

2 minutes ago, new123 said:

qsfp и qsfp28 немного разные штуки. В природе существует кабель qsfp28 --> qsfp и потом уже из qsfp в 4 x SFP. Но как это будет работать и разложить правильно по трансиверам, наверное только опытным путем

Ну разные они только в максимальной частоте линии  QSFP 1G,  QSFP+ 10G,   QSFP28  28G соответственно.  Поэтому если нужно 10G base-r  то используете одну линию из 4 (или все 4 для 4 каналов),  а в разъем нужно будет вставить  QSPP+ --> 4xSFP+  разветвитель (pigtail),  или конвертор разъема. 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...