Перейти к содержанию
    

Синтез в Xilinx ISE 14.7 (Spartan-3E vs Spartan-6)

Здравствуйте! Пытаюсь в ISE 14.7 перетащить проект со Spartan-6 на Spartan-3E. Дело в том, что проект идеально собирается и работает под Spartan-6, но ни в какую не хочет проходить синтез под Spartan-3E. И дело не в том, что там используются разные примитивы (их там попросту нет - описание поведенческое), а в том, что синтезатор, видимо, по какой-то своей причине использует разные версии языка. Т.е. те, ошибки, которые он находит при синтезе под Spartan-3E не являются таковым под Spartan-6. Например, объявление wire после assign этого самого wire с шестым спартаном прокатывает - с третьим никак. Исходник сторонний и крупный. Хотелось бы перенести его малой кровью. Синтаксические "ошибки" исправил - сейчас не проходит low-level synthesis. Help me!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 минуты назад, R2AIV сказал:

 Например, объявление wire после assign этого самого wire с шестым спартаном прокатывает - с третьим никак. Исходник сторонний и крупный. Хотелось бы перенести его малой кровью.

В настройках могут быть установлены разные версии Верилога. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У шестого спартана такой галки вообще нет. У третьего ставил и снимал Verilog2001 - никакого толка. У шестого, возможно, даже версия новее, чем 2001 (подозрение).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

57 минут назад, R2AIV сказал:

Синтаксические "ошибки" исправил - сейчас не проходит low-level synthesis. Help me!

Ну а по частям попробуйте... Вместо большей части модулей можно поставить заглушки, а потом добавлять эти модули... Чтобы понять на каком шаге будет затык. Ну либо там просто проект в кристалл не лезет. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, R2AIV сказал:

Например, объявление wire после assign этого самого wire с шестым спартаном прокатывает - с третьим никак. Исходник сторонний и крупный. Хотелось бы перенести его малой кровью.

Скажите спасибо вашим вериложникам-кривопейсателям.

Кривой проект надо править, а не обходиться малой кровью. Чтобы потом жить легче было.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В своё время натыкался, что компилятор VHDL под Спартан-3 выдавал несуществующие ошибки в исходном коде; компилятор под 6-ю серию тот же самый код благополучно переваривал. Так что, возможно, дело в кривизне компилятора под Спартан-3. Можно попробовать воспользоваться сторонним компилятором (Precision, например).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12 минут назад, SII сказал:

В своё время натыкался, что компилятор VHDL под Спартан-3 выдавал несуществующие ошибки в исходном коде; компилятор под 6-ю серию тот же самый код благополучно переваривал. Так что, возможно, дело в кривизне компилятора под Спартан-3. Можно попробовать воспользоваться сторонним компилятором (Precision, например).

Проясните, пожалуйста, что за зверь такой. Не приходилось сталкиваться...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 minutes ago, R2AIV said:

Проясните, пожалуйста, что за зверь такой. Не приходилось сталкиваться...

Кто, Precision? Компилятор для VHDL и Verilog от Mentor Graphics. Может либо генерить выходной код под кучу различных ПЛИСин разных производителей, либо делать платформенно-нейтральный нетлист на чистом Верилоге, который потом можно скормить компилятору в ISE (он XST называется, кстати) либо в другой среде разработки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 hours ago, SII said:

Кто, Precision? Компилятор для VHDL и Verilog от Mentor Graphics. Может либо генерить выходной код под кучу различных ПЛИСин разных производителей, либо делать платформенно-нейтральный нетлист на чистом Верилоге, который потом можно скормить компилятору в ISE (он XST называется, кстати) либо в другой среде разработки.

IP core от производителя не используется? PLL например?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, R2AIV said:

Синтаксические "ошибки" исправил - сейчас не проходит low-level synthesis.

А какие конкретно ошибки остались ? 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

11 часов назад, Maverick_ сказал:

IP core от производителя не используется? PLL например?

Ипользуются PLL и блочная память в роли как двупортового ОЗУ и как ПЗУ.

 

UPD: Пробема решена. Precision спас положение. SII, спасибо огромное! Сижу, изучаю. Очень полезное ПО. Больше всего понравилось то, что можно интрегрировать вместо синтезатор в ISE и можно генерить EDIF, если проект разрабатывается в самом Precision. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 hours ago, R2AIV said:

Precision спас положение. SII, спасибо огромное! Сижу, изучаю. Очень полезное ПО. Больше всего понравилось то, что можно интрегрировать вместо синтезатор в ISE и можно генерить EDIF, если проект разрабатывается в самом Precision. 

Это вы ещё ничего про Sinplify не знаете...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...