Перейти к содержанию
    

Создал в Gowin IDE с помощью IP Core Generato модуль FIFO.

На выходе получил verilog файл.

А у него в шапке идет какой-то блок защиты

`timescale 100 ps/100 ps
`pragma protect begin_protected
`pragma protect version="2.1"
`pragma protect author="default"
`pragma protect author_info="default"
`pragma protect encrypt_agent="GOWIN"
`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.1"

`pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
`pragma protect key_keyowner="GOWIN",key_keyname="GWK2021-10",key_method="rsa"
`pragma protect key_block
dUGk0lg64D7iZPMKRCCrXeB9ivenZsmi9SlGAoVeSivjtJ4Mx2wAc90GnCPC2TtkHTJmfzFx68aw
c+OnqHSxXLWDTPTleZ1hAaBsDezLaCVvbrswI7Id/bn8Vxs6DowBVMqYzyFEExVg8DIEExxs8rl/
4qqKUgZ49upLMUqe8l34SMRm+0+xOOS01nKTiLmvya/7ekfrRMzO1L/W8XWVuvuGdOmjGJDMO7VS
n6AJZaJIIdAr+IcruEsedAGYjA1DZIcmaOYj0ap12Y8nk5257MR8gKx30cSr7B2M7awGu8WsmwT1
j9eBItX2cOwpGSW8xkUUGPYhOB6Hw2ppn3rGbg==

`pragma protect encoding=(enctype="base64", line_length=76, bytes=4528)
`pragma protect data_keyowner="default-ip-vendor"
`pragma protect data_keyname="default-ip-key"
`pragma protect data_method="aes128-cbc"
`pragma protect data_block
qr39ghYcNxLmbUM27UcpW4QX1CB/vdO6g/iydOlLjKUMlJoUwNga+VBiaCKn55Z2SHVYy8PonUcf
Soek6AMUYcoTLetJUi8jhpZ2gosvRUxyuYqB7E6baWWlJIsPMbHJhF9UEg8olAS0cZ44sRJhSIEv
WJbDHoMfJm7ul4kiZ4oSbrm6GasdL8HGAn2ElyIHd7nudw/L9dfNvO/+Z9CV/jjGN/61G6WN7WPL
.....
.....
.....
4V2Ljk1yAqeZVCsNJh3bPQQNJFGtFRyn2BPWW/ckR2b55vg15T+VTTCm0hvYk6zgClOJe21XS5wB
zUJeUzdQEtGKAJ8A+vESH+zFyxuwIYlDgw==
`pragma protect end_protected

Подскажите пожалуйста, что это за защита. И от чего она защищает ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, dimka76 сказал:

Подскажите пожалуйста, что это за защита. И от чего она защищает ?

Это защита от вас, чтобы вы не могли посмотреть/использовать know-how разработчика данного модуля. Но есть пути расшифровать и посмотреть, на нашем FTP есть декриптор.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 minutes ago, makc said:

Это защита от вас, чтобы вы не могли посмотреть/использовать know-how разработчика данного модуля. Но есть пути расшифровать и посмотреть, на нашем FTP есть декриптор.

но вообще странно, что там за секрет полишинеля в фифо что его нужно так прятать)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 1/19/2023 at 11:21 AM, makc said:

Это защита от вас, чтобы вы не могли посмотреть/использовать know-how разработчика данного модуля. Но есть пути расшифровать и посмотреть, на нашем FTP есть декриптор.

Я так понимаю, что на работоспособность это никак не повлияет ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 18.01.2023 в 17:05, Alex777_72 сказал:

Вопрос по GW2A-LV18PG256C8/I7 .

Какую максимальную частоту импульсов можно подать на вход матрицы для стабильного подсчета их количества, например 8-разрядным счетчиком или запись их в сдвиговый регистр LUT4,5 ? Так же  интересует минимальная длительность импульса.   

Можно верить данным по быстродействию (пункт 4.4 Switching Characteristic) в документеDS102 ?

Боюсь, никто вам пока что этого точно не скажет.

Простые схемы со счётчиками (логика всегда в один слой) в данном кристалле у меня синтезировались на 200 с хвостиком МГц. Разводить на такую частоту потребности не возникало. А если бы возникла - успех зависел бы далеко не только от задержки одиночного элемента. Тут больше влияет общая заполненность кристалла, стиль написания кода и "умения" используемого трассировщика.

Кстати, пользуясь случаем, спрошу у общественности.

Можно ли в говиновском флорпланнере увидеть разложенный проект? А то что-то я методом научного тыка не нашёл. Только плейсмент констрейны из нетлиста можно сделать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 hours ago, fingertouch said:

Боюсь, никто вам пока что этого точно не скажет.

Простые схемы со счётчиками (логика всегда в один слой) в данном кристалле у меня синтезировались на 200 с хвостиком МГц

Спасибо за информацию!

Не очень высокая скорость для сегодняшнего дня. А про минимальную длительность импульса есть данные ? И вообще как они себя среди помех ведут, если рядом мощные тиристоры и транзисторы  PWM отрабатывают ?      

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 19.01.2023 в 17:36, fingertouch сказал:

Можно ли в говиновском флорпланнере увидеть разложенный проект? А то что-то я методом научного тыка не нашёл.

Можно, но только на уровне слайсов (что-то типа Chip Planner). Чуть попозже напишу, куда тыкать надо.

А вот аналога альтеровского Resource Properiy Editor у Gowin нету...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 19.01.2023 в 17:36, fingertouch сказал:

Можно ли в говиновском флорпланнере увидеть разложенный проект? А то что-то я методом научного тыка не нашёл. Только плейсмент констрейны из нетлиста можно сделать.

Сперва в настройках P&R нужно взвести пункт "Generate Post-Place File" (установить True).

Затем, после компиляции, с закладки Process проекта открываем FloorPlanner, там закладку Chip Array, тыкаем в массив правой кнопкой, и в открывшемся меню выбираем Show Place View - All Instance

Видим, как проект разложился по CFU (чем темнее цвет используемой CFU, тем больше ресурсов в нем занято).

Тыкая Zoom In (F8) можно изменить уровень детализации - сперва CFU разделяются на слайсы (CLS), а далее - слайсы разделяются на LUT и триггеры.

При наезде мышки на тот или и ной элемент выводится подсказка - какие сигналы и регистры нетлиса расположились в этом элементе.

Из списка на закладке Netlist можно подсвечивать в массиве примитивы, модули и критические пути.

floorplanner.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 21.01.2023 в 00:31, StewartLittle сказал:

Сперва в настройках P&R нужно взвести пункт "Generate Post-Place File" (установить True).

Затем, после компиляции, с закладки Process проекта открываем FloorPlanner, там закладку Chip Array, тыкаем в массив правой кнопкой, и в открывшемся меню выбираем Show Place View - All Instance

Видим, как проект разложился по CFU (чем темнее цвет используемой CFU, тем больше ресурсов в нем занято).

Тыкая Zoom In (F8) можно изменить уровень детализации - сперва CFU разделяются на слайсы (CLS), а далее - слайсы разделяются на LUT и триггеры.

При наезде мышки на тот или и ной элемент выводится подсказка - какие сигналы и регистры нетлиса расположились в этом элементе.

Из списка на закладке Netlist можно подсвечивать в массиве примитивы, модули и критические пути.

Спасибо!

Вполне достаточно, чтобы разложить проект по кристаллу. Хотя, конечно, удобно было бы видеть по желанию любые связи, а не только критические пути. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не работает встроенная HyperRam в чип GW1NSR-LV4CQN48PC7/I6

в режиме Burst Mode = 16 , не проходит калибровка.

В Burst Mode = 32 все хорошо. И очень большая задержка при подаче команды чтения и

появления валидных данных.

image.thumb.png.a626f4c0790f794d7e2336d04f35b603.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 25.01.2023 в 11:54, dtmf73 сказал:

Не работает встроенная HyperRam в чип GW1NSR-LV4CQN48PC7/I6

Какое именно ядро контроллера Вы используете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

HyperRAM_Memory_Interface_Top

"GowinSynthesis V1.9.8.10"

 

image.png.d18c721d00ae4c2ef4297631517b7042.png

Изменено пользователем dtmf73

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пытаюсь на чипе GW1NR-LV9 использовать блок DLLDLY (каковых там должно быть 8 штук) для получения фазового сдвига синхросигнала.

По наивности полагал, что DLLDLY является самодостаточным, как описано в Gowin Clock User Guide. На CLKIN подаёшь исходный синхросигнал,

на DLLSTEP - шаг сдвига фазы (из расчёта код 256 = 360 градусов), параметром DLY_ADJ - желаемый сдвиг фазы в выходном сигнале и будет тебе счастье.

Sinthesize проекта проходит нормально, но P&R выдаёт ошибку

ERROR  (PR2015) : DLLSTEP pin of 'DLY180/dllDly_inst'(INS_DLLDLY) is not driven by DLL

Получается, что DLLDLY можно использовать только совместно с блоком DLL, который вообще не описан в последних версиях Gowin Clock User Guide.

Если их использовать совместно, то получается, что я не могу задать любой желаемый угол сдвига фаз, а могу лишь выбрать из 8 вариантов в параметре CODESCAL у DLL?

И какой тогда смысл у параметра DLY_ADJ?

Может быть, у кого-нибудь есть опыт использования DLLDLY? Буду признателен если поделитесь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...