Перейти к содержанию
    

Интересно то что тех процесс GW1 и GW2 один а напряжение ядра разное... Может память так просит.

 

  1. Можно получить в лс квакозябры для доступа в темную комнату? поставить себе EDA
  2. Я могу с любого true LVDS на HCLK линию завезти клок с внешнего мастер генератора?  
     
  3. подскажите порядок действий, нужно распределить порты и питание в соответствии с периферией с помощью FloorPlanner

Какая дорожная карта действий если кода для ПЛИС еще нет а плату уже начали трассировать, как помочь трассировщику и сделать минимум ошибок в хардваре?

Изменено пользователем LexaryStyle

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 часов назад, StewartLittle сказал:

Я???

Нет, Вас бы я написал с большой буквы. Вы, "эфовцы".

13 часов назад, StewartLittle сказал:

GW2AR

Нет, просто этот кит (по-моему также от вас + 3 такие голые ПЛИС) у меня уже почти год лежат, ни разу не использованные. А R нам вроде как не нужна. А почему именно вопрос про R?

13 часов назад, StewartLittle сказал:

Кто-то из нас что-то путает.

Скорее я, ибо грешен этим. И не этим тоже. В общем, как поёт один из моих любимых бардов: пора замаливать грехи.

13 часов назад, StewartLittle сказал:

Но давайте лучше в почте это все обсудим.

Написал Вам.

15 часов назад, Realking сказал:

Winbond W25Q64JVSSIM. Если вопрос о конфигурации. В наличии на диджике много

Эту юзаем. Полет нормальный

Спасибо. Передал по назначению.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 hours ago, Zversky said:

А почему именно вопрос про R?

вы сначала уточните возможность поставки, а уж потом работу начинайте. Может вам об этом говорят.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

9 минут назад, LexaryStyle сказал:

Может вам об этом говорят.

я сначала узнаю у того, кто это сказал, а вы сначала ответьте на вопрос, который лично вам задан

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 31.08.2022 в 01:55, LexaryStyle сказал:
  1. Можно получить в лс квакозябры для доступа в темную комнату? поставить себе EDA

Напишите мне на почту.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 часа назад, des00 сказал:

Если вы недавно регистрировались, то соглашались с правилами форума, которые вам нужно было прочитать и запомнить. Правило форума 4.2. Модератор.

Похоже мне это не светит ни когда. Люди добрые поделитесь лекарством для GoWin.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Удалось кому-нибудь собрать библиотеку симуляции на VHDL под ActiveHDL. Делаю как описано в: https://www.aldec.com/en/support/resources/documentation/articles/2189

Когда указываю VERILOG-файлы, все собирается, но тогда из VHDL не видны примитивы. Вернее, rPLL почему-то видится, а вот что-то посложнее, вроде FIFO_SC уже не могу подключить, полагая, что такой примитив недоступен для симуляции.

Не знаю куда он выливает логи, но ругается примерно так:

Spoiler
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10789, 19): Syntax error. Unexpected token: TLVDS_IOBUF[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10792, 30): Syntax error. Unexpected token: std_logic[_IDENTIFIER]. Expected tokens: '[' , '(*' , '(' , '.' , ';' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10793, 29): Syntax error. Unexpected token: std_logic[_IDENTIFIER]. Expected tokens: '[' , '(*' , '(' , '.' , ';' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10794, 29): Syntax error. Unexpected token: std_logic[_IDENTIFIER]. Expected tokens: '[' , '(*' , '(' , '.' , ';' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10795, 30): Syntax error. Unexpected token: std_logic[_IDENTIFIER]. Expected tokens: '[' , '(*' , '(' , '.' , ';' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10799, 25): Syntax error. Unexpected token: Behavioral[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10804, 21): Syntax error. Unexpected token: Z[_IDENTIFIER]. Expected tokens: '{'.
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10805, 22): Syntax error. Unexpected token: Z[_IDENTIFIER]. Expected tokens: '{'.
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10808, 23): Syntax error. Unexpected token: not[_NOT]. Expected tokens: ''' , '(' , '{' , 'integer'' , 'real'' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10809, 16): Syntax error. Unexpected token: ;. Expected tokens: '(*' , '('.
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10813, 9): Syntax error. Unexpected token: begin[_BEGIN]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10816, 42): Syntax error. Unexpected token: then[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10818, 41): Syntax error. Unexpected token: then[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10819, 20): Syntax error. Unexpected token: X[_IDENTIFIER]. Expected tokens: '{'.
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10820, 12): Syntax error. Unexpected token: ;. Expected tokens: '(*' , '('.
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10825, 5): Syntax error. Unexpected token: --[O_DEC]. Expected tokens: '(*' , '(' , 'full_case' , 'parallel_case' , 'parallel_case full_case' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10828, 4): Syntax error. Unexpected token: use[_USE]. The 'use' is a Verilog 2001 keyword. Please use -v95 switch.
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10830, 18): Syntax error. Unexpected token: ELVDS_IBUF[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10833, 25): Syntax error. Unexpected token: std_logic[_IDENTIFIER]. Expected tokens: '[' , '(*' , '(' , '.' , ';' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10834, 26): Syntax error. Unexpected token: std_logic[_IDENTIFIER]. Expected tokens: '[' , '(*' , '(' , '.' , ';' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10838, 24): Syntax error. Unexpected token: Behavioral[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10844, 40): Syntax error. Unexpected token: then[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .
# Error: VCP2000 C:/Program_Files/Gowin/Gowin_V1.9.8.07/IDE/simlib/gw1n/prim_sim.vhd : (10846, 39): Syntax error. Unexpected token: then[_IDENTIFIER]. Expected tokens: ':' , '(' , '.' , ';' , '=' ... .

Ни одного файла на VHDL не удалось добавить в библиотеку... :-(

Для rPLL добавил сам файл библиотеки в проект, но для FIFO_SC это не срабатывает

image.thumb.png.4aead40520051d6822cfa1ca8738fecf.png

Содержание синтезированного файла FIFO_SC.vhd довольно странное для меня, но ничего другого я не вижу:

Spoiler
--
--Written by GowinSynthesis
--Product Version "GowinSynthesis V1.9.8.05"
--Mon Aug 01 22:55:21 2022

--Source file index table:
--file0 "\P:/GOWIN/projects/temp2_project/src/FIFO_SC/temp/FIFO_SC/fifo_sc_define.v"
--file1 "\P:/GOWIN/projects/temp2_project/src/FIFO_SC/temp/FIFO_SC/fifo_sc_parameter.v"
--file2 "\C:/Program_Files/Gowin/Gowin_V1.9.8.05/IDE/ipcore/FIFO_SC/data/edc_sc.v"
--file3 "\C:/Program_Files/Gowin/Gowin_V1.9.8.05/IDE/ipcore/FIFO_SC/data/fifo_sc.v"
--file4 "\C:/Program_Files/Gowin/Gowin_V1.9.8.05/IDE/ipcore/FIFO_SC/data/fifo_sc_top.v"
`protect begin_protected
`protect version="2.1"
`protect author="default"
`protect author_info="default"
`protect encrypt_agent="GOWIN"
`protect encrypt_agent_info="GOWIN Encrypt Version 2.1"

`protect encoding=(enctype="base64", line_length=76, bytes=256)
`protect key_keyowner="GOWIN",key_keyname="GWK2021-10",key_method="rsa"
`protect key_block
RqgTyAjT4B7y1xZPcTVJoolXq+H/H1PqfvSrv4j6PVGVX1nTjz72uYyycRTHKUxYT5MbKhc8RT57
nGQ8UT4hUgaEcEXdUBRrMGk+6SOYhgYVNq+hdT2mcO1+sHQDsf4TZ6Gly4zxyzyl+rEjHf88hl/T
JgzwA72F5tA/s+LbzHnJFCD+LaFIriH6mLTUaXAHuwUMUnlcffr0Jxgkuszjp/BdwRaXLG73ESZl
G1E8Uzn33bYgUcawagPJ2RcLmzYfSlI/QHifsBjVI4qPUmu62y0yA+wEEicew0q2K3fWCWXuj+L4
42ZSHbHCVYI8z72WTn+gvgenuR70Wgbvl7vQYQ==

`protect encoding=(enctype="base64", line_length=76, bytes=7328)
`protect data_keyowner="default-ip-vendor"
`protect data_keyname="default-ip-key"
`protect data_method="aes128-cbc"
`protect data_block
XDY3+wbUzxouBnmeXoAmgQCX0NsqpwjvCV7acaAFScmeu2IBl+QMIYtD65Do/KFXXB08EP66iUjh
dvj5K9JtjwULLJKigVsTestRFbHjMJjRSer8P+5eiYMkQ7d30BLtT5NkRiVPIaZIVAXZeXTSa0D8
9Dy4FB0jnoqF9OlW37/CO2PzoOxiLgzUcFNE27Fih4jZ2HgnQS99DDIX/JxdF4L5xTS/BT3P+17+
6fP4UnypyrTNuv9DofkFx9KjmnIyhKvtzpCx6NahskoRskXfdQjY4tfd8AnutHLubBRZegXlOSp3
3hFZW1HSfLJECGQn9pe6sHZK7Qe8YjJY3YS0Lplm2agdPq/4csk5jO8Z+Q37Jy4SKskg8Ky22pGA
hBi3iSf5z5DZbmqy8AqxTmIz66bk0L6CcVjeouE4Mb0MuoS7h/Nw/ZrbmJDnmhvSOA9nMKymUGeA
RpbX81VFIXAQTycMCvLHdlUMkS1QoGm6LR6jv9pwHiO/g2wjVXd3zJCpS5z9gcKHktIiST69uoyh
EPuyEmTc2SmbAXyg6eo50PU+c3v8u6o7/d55Hjeni3OpC/7ux/+RvDvaQDiduddykyW8gIsjAu7D
LaMNKT+BTGNh6ia3ThGts5WNPJF1HBi8m/RzKjCof/afdMlKDfDFpmGrt7rWnNgutQJ1QfCO5crN
JRpX0akEfCFv9FTtpzOU5mBO+/iyYWjW49wKd0hMzW8o25Vt9QNyq5QVGpLXsir8CrnoHaxucu0K
lTVcjvIE/x2BQ9sbFDT6+m9mIEtl2LEJ9jIDAky7RhAyyHNA4C/mtEj6GW2vPVgx8jQxzbQxeYrV
joYUI0z10aZ0m9ysmjXNB3X7Pfw5RiWVayRjYDBs7yAu5iw4Uorwjg3mo4WPcmhHmGnyxuOsbj9M
oZcTMC5KF3iiqZXIg29hkjybk8knc09kZ3o+BEA7AUWJs4FdZPpuBVUNdrP+MbxaztcHWnR5QL0u
EyQH7qO1jV+gMUrY0y2ErQSbU6Avj/l/M0jAf9l2Cp1wIQOCKyPfDD0+qJPzOmpcgINHhoRI9McO
sNQDmic5lP16pezzikZS6maSzY3H72Xubu30LlI9JAcLUcDQiu+GqNCz8oZI69M2hL0v9I6PQKMy
QIGAPIR1wbewAqoi+xDobDb1Ega5tWPtqf1AqGgOXK377nrxxGVqlems5PiFkE26ux8V31IJJ8cU
EvWVNc7zrjFAHb7zSSyELvmSa7BmupzriWDGU3hFfNKwmGy1DEmpLZ0RidRKakNJxJsCTBCnfyzg
XEQAZUUWQOsJTC2lELk/KlAgxnolxQxxLyzX+fd/1njE5pJfJWkVbEFCP71fisassf4iE4TmNM3d
6O3MoZjHnN/C9EL5AQwcy4hztY14RRYolO+6VDsuDImJavSK53emp3SOlCLffskGR0/ZJMt37VuG
Hi3wfKjz4txBVIK7h6rvfMq0WVJckHIRG8K58xHKpnQarobdTs0AZgJc0RmBFYs/y9EUzg8JEPXB
hXf+1LArAHWIQ/XCKQJdPNlYENw2CRG2HxrYJFh08ZYmxE17Mdqznc/ZbYwnpXGsG1i5RpNqKzYI
SmmqFQ3VXZ8O1TJ8ryaJ2Mx89w59X7HsKhJz0gB048CnIC3RrMlj88uAizd81P365ZyUlW4tPY/+
uaH+NlTeWzxxLuyqpFqKQfeBtuX1mbFLW/46NhfoAebZ05c7GwqC07fFTMHW3aphNc5Y76esEM4f
qr6fIea9rtU4v55kV7FfwaGyLCOhMTXJ6LMuas1UaDtsT2JV3YGQYQL+lI4ly4Us60XRWR5uAQYm
UpsEWPyUk+6Blf1z7brhFwu3SfZ5nmhwymQ8w47HUW1asxU2kgBEjy4SsxSrPN/9owpD5nvc1MlS
7gwl+KXL1+pIghze1em6T6i4fp14QRQushjlqf/IgSNs+XxstzIsump+1WRSJ/rgRXDkHzH4ZiEK
y1oWIRG2lTlEF3icxirFLaKK15z6uGfF0bsCFAGVcXbMVq+53rC11MiW6/9SIY8gKZA+byo++vlG
dTH5sm/HAyGxt6RqYhEFhmS79tN9oPUTgyEGuFCsezBG8Ai36mI9kqfLPx6DkQKFmtDcfzjrWSW4
o+VsE449X6eHZdXtMO1BsU5SeYLCwhkSMCbPMy/Ts5XHl7w500uOiz2vYmCQIOiZKQEa7y2XfNNM
OZeN/XIRBNqvz95Fe2D5pjwDj+KbqR/5azMTnpWm1zbe5EVDuzWNg1woOKilJcGVYwLnZs6CegyH
SKb5h+PmTjiuvjgZSQtAlmiHuGImQ/xFgfdhvDJskdgcO0l01/cnH+NjRVa6F4HIYk1EY1mL0h+n
G71wVmBEC1MZKQYfILSBDBCrg46tdx+at/OK7MsEKB61r8Fb3C9+FobV50R8uT9p5WrBTCh/3/VE
1oZ58+vzeKL4LLYqmgk4tsVOReCc3Srp2wJAD1xdos+iTUYgXWaR7EK8H/ygkN1fsZw0U/1HVQJm
7/wsAMJC4AsRF4G4OH+KuQErazqBMHn9SJ3X8xNTsyFpmRsLQftryD204t+PAXlHPPxBOsEPGcOY
ICPnMZLW4giFrRAiJoNazS/mQGQDsauhlEjpNs/ERIMUDKi/JUce8EjQLlmXZUBJyZch635r5mui
2lqCUOExW5cDzJSgI9qlIS1VE5JyYo727WYGv8XEMcEXawecHHz4Ek/E7cqHSAy1TBdaJdnNIhX3
G7WeuGtxmpPUmKoYFM0PneW1rkSr2LMQ+BhID/1iVlimL7o6Zq2jZYG73bCvJ0f2WeLYq8D8pY0A
/9ySits18MqrFyVyuoDCUY1eUbQ2sbowWWT0T1sBReAczRqjqMMoECjWErZVoJcsBTUbwgwCAbSo
LbqyEcQQOGX0dAelYVza8+yNKzTk09uKmOiM7WlDGaZ9pS3oxtYfeMjydXoTCAHpSkYfr/oZK3M9
CdojqcQ74iO6ofIIOeb8Pjc1UUSvIhXLHinBIoJ4q5AT2QDaozdWEbgJEoTGfFckD1RDinQj1y75
nAVyof/s1O/Fbpw2PymxE6WZ0mJFCR7Kqc7gka6OfEHSwziEEY14qG9xpxGWw7batxzqXCylDZ02
/FtgVtI7LiwLczsdpUO5UYD96KtplvTq7UBooaXxa03TP6zjXCXXHIV7sY9PksKiUNZzEtPI9qLY
7fSvhHxda9xsB+QvNaKLXHQBYbIxWBEM6swgfjnucEF18IfkBK7aiHI4FmsFBdxcT3X5PZCXWxqh
cSE/1tJlUJR0wGKkiCZfSxRoVansu4qN0r45tTJViIXYHU7WbsX56oAg38pzMzPmfwcbWYCJ8wWY
zQ9k1LhAIZLGO9zn0b2M5WletPDwDWZQej/Qa9H0i642maPVd90LCKMRjRoM6sbb45FCRxbzZE6R
9vCBaQ9LbiEyksnocWyAUAar7y89AOq3r/lwyK1hNaFX9mFpdH08kXAGayoucf5fa3mAmv6ZZTvK
HickblBGgiKPPhfsTx4gLUVZuwYlUAhndpAXmhxH6PUfFcUZwzAHn7b2ysoftx6fgitJ0nffj903
F4G3UP1E5/FKr81yl7sBuYa3tStWp5hvy5HL/Oiz3bPqyVWty1sNO+AYUukhEqfVTtKptaHZXRd5
J55ZDTHhx9zgdbEqxjmqaRHhsPbVzm6zJ0hsj0oD+tLCu323qw0W+jb8MtPZ3osGyjXlopCf7e2c
dvsxrUfsfNWYx88Df1/KdpWWJX35uVJfRvG0fMzU8lwcBjy1CO/CdyQvPTyyMh3go+N5CoaWdQ0s
ZXbRY48FT0K0JAkpj0QjbxveEdpSw0XJt5+afj7DblnRwDVLalKCTGbNj9jYiLu/qVV3J/PvhrhS
744PQBto5z8TpN19jF40BH6EPRIaHI6cD736XUSzdc2UEz6dI83bIr1QhfdVNWX+MTv3PhPHr+3b
STWtAhOw8DHq1lS6Vf85U6JiuSwQ5JS766tceaeSqFV84XojgKOenaUloS0MK+8hiFOZmUCmDQD5
KIKHXc0GuH21vToONPrrJjfkSxAMKLp3oFcxoTbW+QK5+drS2K+TpU2l5OPWsjYgsIzNaR+/5sVY
c0ofX4HWJP/VR36nS+j/bHTQB6v6Qaoos8h2xDsczv3GIx3PW1Yv/kjHKT9Qn6xfDc6aVekUtlAj
PWO7Qhmfto7UBL8a2SO23mIPI66PgV2rn8IOPt8/3zq38/z5yG6CIcDhESzWblIaPITB3zxKVSgj
8GI6RjD118Dh3+xwfk107G8uRl08TMWp68BECAb9YzReMky/aPbyLg/eFkeFNiWB9RL9xpgny3iD
EwBpSN+aH656xqvbfPCcHaK95A2k8C2KOwkHOu5PR70dVt35nkENlsJVRcRmTo2t6UCoySgPyvw4
3WdFQjVZ+/jNCVzjmqD5w94zroSUq1u29EQM1zd6IZwkRQa8ItTjHagXAZvP8BMaXerhx+gw0pJD
j3cAVsgagYLvDxJ9tRZ8Z0zTI//Qq/i3rTHdR2dgL2FKi8pV3vqvGQAqTQAMzso0L8lobYk/WiY4
ucNboyYfWV5Uqzjc+5vB57ZwuzIswAY8hChd6zd5vAB+6VL//RPLCrp64dUCG74bCor/bVPAMTrP
XeUxA64Ygew1uujGqduYsmHrGfz5MVq48xE6D5hOozG0L2U64fAiCKyyij5u3h+7LzZtRuHUPI3n
5p1s1eEJJt2LUKDp9eqR20/UTuSWw0bZh4IPHqVE8GOtwKfyEoU5f/DTw5KxrTs8IW/NhGpU++Hr
MmhvNgxbMvPujl8YVafdzOYZUiGremKt8Tuu6xE2u5Gf+ysy/NvnyorcaTLoKZzES26aG/7U/n7K
X1nCJmyg1f+nxA2wCo2DE8mmpQjZ7ib1nn0x0opU0B1JLzWwb/yCuo+dBvQn3Pc8RMKAOFX2Dff/
miSZfPYunURe73pNtTkjC5eUrk/gAtcdn7T/1ldxrY9pOhtN7bERQMb2LoWRLacmFsfvN40VmwDo
YXvhC7hb9wbc+/Q9PfMMLP7dNPSP5EKDquGjq0b9+GvcVaaxGPUN/2tB5puNe0AAqI3T6E1Ykeyy
OHJerbWUApnm+VXMPko7nrVCrvhohbCmTKAKKBrBnSi3Xvm/TCbThna0agD49fo1/kiw8/rav+SP
uFnzy9r/3FUCnuQwNldAW6fpUXfVfQtvqcEcE/d5s39geGkXskRijqcavX3PIKtqaMieAjXt+qvw
WsTyQGVQnE6feJfhFfB1oK/q1ifaRd+7rxGZuOQpr312BcaAf2ic11stQ3sQJ0pqotVBDgYCMy9A
2MRzoYQiXbYnMca6vpwFVVmyMBftG/NL/9y9tBOk5lN2Mt1clhYyUqatdvsEHXKWyiYcYtdJRoH+
boNWudMjONBmUnND0YsnY7fbF+tzFcB0qlgt6ZqLyS0zeQH/cCb34yoD30ejvNEywnP9ghe3TREA
4kXjYB8ASrezXLxcR9RcGOjkYFI5zPO5wSReFY+TXIy/sA2NPbbUS3otNNlY/66lWpAD75z8fZ/b
QO/OzMAMPHipcX7gq8AHVVXyfbci++bVNbPnzAPkcGpbw3Fn5h1ZP850xjLDD/GwbDarJI3OK5Et
iBHz3UI6wg8YMnEjvErq4XAsCx+JUqapvauZuwWfdRbzItlrfhVieqRNfBHS+d6wSLmBQ6fSb+5K
op18UrpwSZ9SeuP833Q5yGNN4Hj7lcjAeT55iONJBY5e0r1udeiv0c59MALZq4VBF6FIk3+xlus9
DmfoeKvHI9vAoInOcew9FE6bQhuftsKXw3oANaNu/fpsuSjja8Xudg3K+yYKOdc4o29yQ+s60CwY
dbT9msq0bYEKnJpa1y9/mOsNyxp79MMRI8RDsINmPGHDYfDogvVOgRVWRbI+mndYFvYkiVVQh/14
/IBZAxpHZVj0VWAP4WH5v4FJo7IuzD7PKbRbUoXroWttyHeLh+x+Fb2nwmDVLS9lRyr67gWmdDhD
idkVdAFQO7bLpAezRO9+Yn2e+f24Es6uoSJRq6phDhEfNp99LeP9Pqz48Kc56xT1vMlUkLow3XZS
2J6EcIib3XCZaO1OK0LOu1pzv+XLyzhFKmT7/doOjKWoVtHlX/hMkfuN0TpTNO1S7HVZk+3y5tlG
GoY2lGtZz0eU31I+wE7U4V+WyRxDREm43b+FCDY5ewQYWrPdCgoY0XE1/THCEZL7UoVCAeKZThME
z+QOB9KDKjC4bX22dJItHz7zyh/BBm0IPSHs3bTDLcOkhT4C9Ug0KaYi9NiO1G4JDtXz7H/38Pl6
I7sgcC1VDJdizEELr3lKeVAJlze7+xk16csTV7eTmuHtfRqjn3swyDpBk4A0ZoT4MPV84Qy0GNzg
oja4V0/8uFuouxjjSn747w6UTsdlF5hHhvqdQl0SBwtbnBK095qa/bDC8pHAd+zYMkx2+n9muh6Y
lUe4loQ738+5NbDgdBKahd8zhXAvHy9mThUiVblDZK7JkwMyWxuFdBV4LmGobV7+Ej2G8Tp7ZCEl
3aRo0/jwmroahpWMrNHvb+zz0NswzatMKtyEgUfac/v913VgQxL2gyKxQHNe1i37i9XvsxwNCa4u
4apPEJiIzjb6hE/wgRwySOIhoTpvh54vzn9iqyQnUqBtjIPhszrrZ7MQSK9+GASBgyKWvecPjv26
hs3g9q4tnRl1PB8IzAgvYfI5/n/fckjQ/u/eaOJk9ijW4Vudsw3esS5QZAPvXpkEZOptGqegD3gu
tjkMKynz1YDLfcJvh/oHOANq0Iw3dOqvCAEVnPkxkMCH1sBPSzoJliYfpPXR8hrlyRbhCO34TV5d
nQMYMuLrq8dKmmEVVQDNvtDqrKZmoqU2lDzSOGx0psbpXX5BkAQ+qXRXKY/UzFoqGgWlhrQNj2dJ
dsg19SxW4C4k30W7lBVau7qcyqdaKQzG+Aet+2CniHm/iGN3Dm7+fTqng2sUdqLjmkRg7UQop4wk
vg/qgejPSGzTjID1+7IW3EDl0QhuEanAbJfqAf1Wm9B+p8zVA289SQNETFoa8S2+hF2xOY0me3FO
qpLnsXg4SQ6QfSIUkA92RWBxoHME3U8Wg6IL6+TFACmQdS0ane4VvU96w/TDAJoAqq417fwwSVLq
7QdBImGyk8Nq8z/V49XsIo8jI86A3nWAtXistzKity1bjGZDPN8l6crnb2Kez3H0p0Rjt3ow+emt
4Q+S3Lz8TofhhK0kaydsznaW0Ul4/GqzYoTJ23Vsv2xbFQWnzqM2GOKpdPMnuEg0cfTEI+sY9CTa
Xrw3cKEKDbPuNr3EctFK58Q+EwcKBpcJvFxREhiGcGkQG+Eiv2SApDQ5zZ+KjnCmh7K6kWRagjeS
LbhDNSZdMj0CGlkLsikGc8AQvqDWOGil3wxND942IlCjI+Vh+zByJaox3KC9efFF3em+U25HSBC+
Z0ubghvc7thNQq+E+/fT/az6uQVUQAlm950/MYAbd7oXz9Y/UenJmn+udbIf982LGX8L+EjNV+MC
5e4tJEMfn+A61MZRr+UahR6Fy2Po9fT8FdjHnbx+2WyeAzJenX+NyMozzdNSKI8aE10r/pXzv5cS
ea1jySw687bxuNrFVvp52J4yOQ/OXobb4ZcJN2ZnXDWMave6UuPoibzE1ERvkUcTw2N5qxwsdoM6
BYn4uSv+0E12VcSxZtld5+ViRbn/PzhP29S8yLq+mvksnpdx1HMl+wPxfvchfamLO0xFowvya2Rl
O85vQfbO94Owfq0Oq5NzALIxZ2410DjUiPHM1rFjSmIBU9+n6/G0Zs8K+bW8E3QQJgeZqKkK4Z8C
P1r+VaphyM6iJveXs1eS4lRB4Xf6t5gJsLkD3noc3Qhbg6ZQbRaapnbgN09/OlpmvzJGHyDWJ9W4
H9D6jjjeSG0T257gyYjdfaFqpYIDd+YOfWCtqZdt3IRWDi/2iahlrL9LbwWilOljDlDmuipaIpXU
9MqkNnVbtW//W01fD2ay0/GeVjQqS000t+VRFwfRVKHlO2kjgdDvdgai2NCLkXrb2HHW7cA/Suwq
HM8ofb9UHioOHgQPXHHWGMsSXrW8970mEorgwjm9j4nZaSKusWRrOP426qRfM6pil+gnc0HxrK+X
o5mEs/LFZ/DsMGXbuyMSAx1jlQ5qaCAC42GnG1LCnrukorUEXtKkGccXSWBzqZi5n0SC0L5FtTpD
7D4ztBXWfZNvebpQrFc8h/gPJI1Z3drfcBUXCcdPd2dsI/OHS0MO/qHpVh+xlYgvIQ+bVwrWiqkM
KPT90IGOKJMC3cMGPvXEVD5gA4pDLm4tdaGw/AYuy3yjfPpj8k9RCwp+Rg7UBM3dZao48NJ9q0KQ
HZeZm9nBO+CQ39cgBADtJg5HbdskGc4uuv/8aAahcVX4tLefD8M+PAHG02vDynAhsNdIfDAmCsZR
Xzza2J7EzfawhxsEvs2VzG/kN4WdrvFtdo8vkY61EjiVJyLIiII7/6Ohy0SELWBE7OG17KcmnD72
XJqEi69AoUEyDq+7c+ktoOb4aorHlG5sD+X6heTMJHc7WWpMk3ELHTMM9TLhz+I9EfKo0jdYMYs6
21n54iUAxYuWzk4cAAfxpW1qVyU4Rov3tN78S08/CI+z0dJmSgnot9Fm+UvtgTAB3FG/YRmsr98K
IpH2S05UA6UcpADrsWNUR8jZLU8KribCGEz1ufngoNjsM1tLpGMuOFGiHuDUGv8P94jkzWmHakQY
VACt0uhDkyQb8nQ/6k9cgdC9RGS7uFx7njJxIl3dkYkeYG1NIDDA8n2dPJx2/bWKFg+tVckLtBnD
5hVjUV206LHwyD5wpbKqIxfF5CSb0mWWV2COQny7DlcztnmJm6I98w+R0Ifs1ft/kpg7XRwQCC2p
pjtNj6xvE/Asw8Jx0Z4F7CqvylG/p1vA44FOI/0U4WaeYlDPRw9UxF6m7j04QlSgajOaBWfq0zK4
ThJdC36D1zo9ElGhpQuhPQUhS4hs8YyCsVeclzTIY25h4US1IoeHOik88YnCGZF1e17auQh7qZFP
IQmjtGwCrHKA810utbW7A6lQ/I9g3B7qidJdbkj12n5a/TAG0k/X71aIQmEgfRYazWkUNOLugPvf
xlUsVG4bXF+EVEeJDzOEePhM+7d7OrkJ7bbr19hVD1nKw2E91H+D0arzJqCgcxfQslKJyKBT+MES
yqP91XO1WX60v1NYNRAroQANHF6FYwjqZm+d0+LjXLdYITzamqlPoFLIDssBmPFN7aovxBiF0ppP
mdgHQxjLL2dHMquKfuA6MZo/888CQB0XHBtlpOCybrW/C11ScWosja9gwGcqnjKWojMf7i01MQO+
qigUAU5XNzb5JnkPClOXLi8tsfTE/d7tzXMk29SJvDLpvKCVIyLdbBaF1SlLms2Go2Vsf6VBs13l
bPJ2rvKG5Rp6fLmpXZ+VPcj/mGNnLOEJSe8lxsIkp6fumm6e55GUQCrhBa9fWIASeaRPqN44FnUI
PZ5DeLyomQtl45k99kobm0awQXRpN/PTRwYEuszs1CtDAOuvkTXIXE0pD1DYzK+ycCXzHVzPlOpT
zpad/nRT+qtAb+T4wUT4KwV/BlIixebKYIvrQA3FRUTFHaGnN6EgSdAIcGXnb2SUQCbdZTj1Mzka
C7V5x5xtT/4+69nhoWKaYAMEbhCTcoT9cvoqiokod+EitTEVeK5RChMGIzZvKv2OEX0xyny5qToc
UyISWtdpeNAo9v/eOiHRc2qIJ+Vz2IdgubJNPqNwk6Y=
`protect end_protected
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library gw1n;
use gw1n.components.all;

entity FIFO_SC is
port(
  Data :  in std_logic_vector(7 downto 0);
  Clk :  in std_logic;
  WrEn :  in std_logic;
  RdEn :  in std_logic;
  Reset :  in std_logic;
  Q :  out std_logic_vector(7 downto 0);
  Empty :  out std_logic;
  Full :  out std_logic);
end FIFO_SC;
architecture beh of FIFO_SC is
  signal GND_0 : std_logic ;
  signal VCC_0 : std_logic ;
  signal NN : std_logic;
  signal NN_0 : std_logic;
component \~fifo_sc.FIFO_SC\
port(
  Clk: in std_logic;
  Reset: in std_logic;
  GND_0: in std_logic;
  WrEn: in std_logic;
  RdEn: in std_logic;
  Data : in std_logic_vector(7 downto 0);
  Full: out std_logic;
  Empty: out std_logic;
  Q : out std_logic_vector(7 downto 0));
end component;
begin
GND_s0: GND
port map (
  G => GND_0);
VCC_s0: VCC
port map (
  V => VCC_0);
GSR_0: GSR
port map (
  GSRI => VCC_0);
fifo_sc_inst: \~fifo_sc.FIFO_SC\
port map(
  Clk => Clk,
  Reset => Reset,
  GND_0 => GND_0,
  WrEn => WrEn,
  RdEn => RdEn,
  Data(7 downto 0) => Data(7 downto 0),
  Full => NN_0,
  Empty => NN,
  Q(7 downto 0) => Q(7 downto 0));
  Empty <= NN;
  Full <= NN_0;
end beh;

Как симулировать простой проект в ActiveHDL не знаю.

А как сделать <code><> в сообщении сжимаемый, чтобы не был таким огромным?

Изменено пользователем Vadim_nsk

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 02.09.2022 в 08:40, micki0271 сказал:

Похоже мне это не светит ни когда.

Никогда не говорите никогда.

В 02.09.2022 в 08:40, micki0271 сказал:

Люди добрые поделитесь лекарством для GoWin.

Напишите мне в ЛС. Заодно и оптимизма вам добавим )

15 часов назад, Vadim_nsk сказал:

Удалось кому-нибудь собрать библиотеку симуляции на VHDL под ActiveHDL

А вам прямо таки VHDL и прямо-таки ActiveHDL? Просто интересно, чем Verilog под Mentor не устроил?

Да, уберите ваши простыни под спойлер.

Мне уже модератор по поводу моих, гораздо меньших, чем ваши, простыней, замечание сделал, и я с ним согласен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 hours ago, Zversky said:

А вам прямо таки VHDL и прямо-таки ActiveHDL? Просто интересно, чем Verilog под Mentor не устроил?

Ну, на VHDL я около 19 лет работаю, а с AHDL и VERILOG только начинал знакомство с FPGA 20 лет тому назад. Сейчас уже тяжеловато воспринимается.

И все это время работал с ActiveHDL, т.к. Mentor те же годы назад показался крайне недружелюбным. Видимо потому что он под Linux изначально написан, своя специфика интерфейса. Пару лет назад пришлось поработать с Altera (ранее имел дело только с Xilinx), и там был старый квартус 11 + Mentor. Тяжко, но уже более менее рабочий вариант. Если это единственный вариант остаться в рамках VHDL, попробую его. Все проще, чем освоить новый язык.

7 hours ago, Zversky said:

Да, уберите ваши простыни под спойлер.

спасибо. Да, так гораздо удобней.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

11 минут назад, Vadim_nsk сказал:

Если это единственный вариант остаться в рамках VHDL, попробую его.

Нет, конечно, не единственный. Просто для варианта Ментора я могу вам показать, как функционально смоделировать ваш DUT вашим же TB. Если, конечно, хотите. Если захотите - пишите в личку. Хотя, елси кровь из носа захотите ActiveHDL, думаю, что и для него я смогу вам помочь. Но последний вариант займёт дольше. Итого: какое будет ваше положительное решение?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Подскажите каким лучше режимом динамически при подаче питания грузить прошивку в GW2A с ARM контроллера?

Мне показалось самым простым это SSPI, не нужно городить кастомных протоколов (как для CPU режима)

 

2. еще вопрос по защите, есть ли в GW2A уникальный ID? или как привязать прошивку к конкретному чипу легче всего ...  (https://www.gowinsemi.com/upload/database_doc/1729/document/623a2f31b47dc.pdf)

3. В ресете или без прошивки все ноги GW2A  в Z состоянии?

 

Изменено пользователем LexaryStyle

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, SSPI - это традиционный подход для загрузки FPGA с хост-процессора.

 

А вот на GW1 пришлось JTAG выбрать, как наиболее универсальный.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 06.09.2022 в 00:08, LexaryStyle сказал:

2. еще вопрос по защите, есть ли в GW2A уникальный ID? или как привязать прошивку к конкретному чипу легче всего ...  (https://www.gowinsemi.com/upload/database_doc/1729/document/623a2f31b47dc.pdf)

UID у Gowin нету. Но зато можно шифровать прошивку (128 bit AES, если мне склероз не изменяет), и прошивать в ПЛИС ключ для дешифровки "на лету".

Это совершенно точно работает в режиме MSPI. Насчет SSPI - нужно внимательно проверить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день!
Использую Gowin GW1N-UV2QN48C6/I5 в паре с фирмовым программатором на FT232H. В процессе разработки без проблем прошивал в SRAM и сейчас так же шьется, все работает, однако при попытке прошиться во встроенную флешку выдает ошибку. Подумал, что возможно что-то криво настроил и выбрал режим только стирания, но все равно выдает ошибку и не может стереть. Возможно кто-то с таким сталкивался и решил уже данную проблему? 

photo_2022-09-06_14-36-57.jpg

photo_2022-09-06_14-22-13.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 06.09.2022 в 15:27, BlackKoteg сказал:

Подумал, что возможно что-то криво настроил

Какая верcия Gowin EDA?

USB Cable Settings железяку правильно определяет?

Какая там установлена частота на JTAG'е?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...