Перейти к содержанию
    

Нужна ли кому-то FPGA в облаке?  

18 проголосовавших

  1. 1. Нужна ли кому-то FPGA в облаке?

    • Да, нужна - например FPGA Intel DLIA (Aria 10 @ 275MHz)
      1
    • Да, но другая FPGA (напишу в комментах)
      3
    • Нет, такое не надо
      14


Под двухмиллионный десятый Стратикс Quartus компилирует плохо и на 128ГБ, А Xeon-ы плохи тем, что память у них обычно медленная.

Да и кэш L3 на новых AMD значительно прибавляет в скорости...

В общем, AMD EPYC-2 должны рулить)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 20.01.2020 в 21:01, Flood сказал:

Что-то затихла дискуссия... А жаль, направление пока мало понятное, но интересное.

Я вот не вижу задач, особенно без развитого окружения, но мб кто-то видит.

Да любая задача, где используется какой-то кит или комплексная разработка. 

Тут только вопрос цены и организации. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 1/14/2020 at 11:47 AM, des00 said:

Нисколько. Вопрос то в теме стоит удаленная отладка. Т.е. нужна загрузка прошивки в удаленную доску и работа с ней. Для оценки, уже выше писал что достаточно моделирования, сборки и STA.

Например отладки связки модулятора+канал+демодулятор.

Демодулятор+декодер.

Да просто декодеров для снятия BER до 1e-7 и ниже.

ИМХО, для снятия качественных характеристик и сравнения их с ожидаемыми на различном множестве тестовых воздействий.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 1/14/2020 at 12:49 PM, Nick_K said:

 для Xilinx частота при сборке проекта Синтез-Имплементация играет решающее значение и многопоточность там поддерживается очень слабо. С другой стороны если идёт подбор стратегий из нескольких синтезов и имплементаций к ним - тогда можно сделать многопоточно и даже на разных машинах (интерфейс поддерживает функционал). Но там также играет роль частота.

Если сделать Floorplanning проекта - многопоточность Имплементации улучшится в Vivado?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

4 minutes ago, _4afc_ said:

Если сделать Floorplanning проекта - многопоточность Имплементации улучшится в Vivado?

При грамотном предварительном floorplanning улучшается повторяемость результатов P&R и соответственно уменьшается время сборки. На многопоточность floorplanning не влияет. 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 hours ago, Tpeck said:

Например отладки связки модулятора+канал+демодулятор.

Демодулятор+декодер.

Да просто декодеров для снятия BER до 1e-7 и ниже.

ИМХО, для снятия качественных характеристик и сравнения их с ожидаемыми на различном множестве тестовых воздействий.

Но вы же прекрасно понимаете, что в этом случае вы работаете с иммитаторами канала, описывающими его с той или иной точностью. При этом ваш канал вы сформируете в цифровом домене, на целочисленной арифметике, с малыми возможными вариациями параметров. 

Да тот же бертест, куча статей, когда приближение имитатора к AWGN в диапазоне 4.5 сигм считается хорошим результатом, но при этом это все равно приближение. Ну и зачем вам работа в облаке? 

Да, такое используется, но для этого достаточно пары плат класса 707, ценой в 3к, которая фирма, реально работающая в этой области, уж по любому может себе позволить. И при всем при этом, это все равно не будет соответствовать реальному РК, работающему в реальных условиях. Как только начнутся натурные эксперименты, на реально существующем оборудовании, с реальными трактами, все поплывет. 

ЗЫ я снимаю характеристики кодеков до 1е-10 на плате с артиксом-7 за 50-100 долларов (на самом деле мне дали погонять бесплатно), при этом вся отладка рядом, любая. А не где то там, какой то эзернет, без виртуального рабочего стола, житага и т.д. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 часа назад, des00 сказал:

Но вы же прекрасно понимаете, что в этом случае вы работаете с иммитаторами канала, описывающими его с той или иной точностью. При этом ваш канал вы сформируете в цифровом домене, на целочисленной арифметике, с малыми возможными вариациями параметров. 

Да тот же бертест, куча статей, когда приближение имитатора к AWGN в диапазоне 4.5 сигм считается хорошим результатом, но при этом это все равно приближение. Ну и зачем вам работа в облаке? 

Да, такое используется, но для этого достаточно пары плат класса 707, ценой в 3к, которая фирма, реально работающая в этой области, уж по любому может себе позволить. И при всем при этом, это все равно не будет соответствовать реальному РК, работающему в реальных условиях. Как только начнутся натурные эксперименты, на реально существующем оборудовании, с реальными трактами, все поплывет. 

ЗЫ я снимаю характеристики кодеков до 1е-10 на плате с артиксом-7 за 50-100 долларов (на самом деле мне дали погонять бесплатно), при этом вся отладка рядом, любая. А не где то там, какой то эзернет, без виртуального рабочего стола, житага и т.д. 

Работа по удаленке не представляет собой ничего сложного. Разве что, на кнопки не по нажимаешь. 

Поэтому, вопрос стоит или ждать готовую плату или покупать кит или арендовать этот кит. Выбор увеличился, это хорошо.

Кроме того, компания, которая организует такой сервис, может и еще кое-какие услуги предоставить. 

В общем и целом, мне эта мысль (FPGA в облаке) понравилась. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, vt313 said:

Работа по удаленке не представляет собой ничего сложного. Разве что, на кнопки не по нажимаешь.

Ну вам виднее, мой опыт слишком незначителен что бы об этом судить)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, vt313 said:

В общем и целом, мне эта мысль (FPGA в облаке) понравилась. 

сама мысль - отличная, как идея - я всячески за!

1 hour ago, vt313 said:

вопрос стоит или ждать готовую плату или покупать кит или арендовать этот кит. Выбор увеличился, это хорошо.

цены на почасовую аренду за несколько лет конечно значиттельно снизились, но и платы с FPGA тоже подешевели, а учитывая рынок вторички и/или спецусловия от вендоров FPGA - тут уже очень аккуратно прикидывать надо - это как выбор "снимать или ипотека" (кстати, было бу классно для облачных плат запилить "ипотечный калькулятор", который показывает что выгоднее при опрделенном числе часов аренды)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 часов назад, Tpeck сказал:

ИМХО, для снятия качественных характеристик и сравнения их с ожидаемыми на различном множестве тестовых воздействий.

На мой взгляд, это несколько надуманная ситуация, но мб имеет право на жизнь.

 

По-моему, ПЛИС в облаке наилучшим образом подходят для решения каких-то сложных вычислительных задач.

 

Кроме рутинной задачи кодирования потокового видео можно придумать периодические пиковые задачи - например, сворачивание белков или взлом кодов.

Когда разработка и отладка битстрима проводится на одном хосте за разумные деньги, а когда все готово - задача масштабируется до десятков или сотен ПЛИС на дни-недели-месяцы. Таким образом за тысячи-десятки тысяч долларов можно решить задачу, требующую оборудования на миллионы. Но это также требует очень мощной поддержки масштабирования со стороны хостера.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

58 minutes ago, Doka said:

цены на почасовую аренду за несколько лет конечно значиттельно снизились, но и платы с FPGA тоже подешевели

Например сейчас на Amazon цена на почасовую лицензию Vivado/SDAccel ~$0.4 час. В сумме ценой на рекомендуемый сервер (16 core,  64GB)  ~$1.2 час.  При стоимости годовой лицензии Vivado >$3K можно прикинуть есть ли выгода и при каких условиях. Вы же не компилируете в Vivado круглосуточно? 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 minutes ago, RobFPGA said:

В сумме ценой на рекомендуемый сервер (16 core,  64GB)  ~$1.2 час

частоту не подскажите?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 minute ago, RobFPGA said:

Например сейчас на Amazon цена на почасовую лицензию Vivado/SDAccel ~$0.4 час. В сумме ценой на рекомендуемый сервер (16 core,  64GB)  ~$1.2 час.

да, я помню цифру за инстанс F1 как ~$1.6/h

но разве есть возможность арендовать отдельно железо без ПО?

PS: Если посмотреть магазин приложений под FPGA там будут фигурировать теже добавочные ~$1.6/h за аренду

 

3 minutes ago, new123 said:

частоту не подскажите?

трудно понять какое там железо - может где-то и проскакивала инфа, но думаю самое неизменное - это p/n FPGA которая на карточке

768014310_Screenshotfrom2020-01-3013-15-35.thumb.png.4db9272bc6ab4351a87cc9b1d402b0a4.png

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

21 minutes ago, Doka said:

да, я помню цифру за инстанс F1 как ~$1.6/h

Да  $1.6+ это как раз только за аренду железа FPGA, без ПО.  А что за прошивку вы в эту FPGA зальете  уже вам решать - чужой  готовый дизайн из магазина,  свое скомпилированное в отдельном инстансе с Vivado/SDAccel или со своей локальной машины.  

22 minutes ago, new123 said:

частоту не подскажите?

На сколько я знаю в Amazon виртуальные CPU core считаются как некий округлый Xeon 2.4GHz. 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В концепцию FPGAaaS я не верю по следующим причинам:
1) Те, кто использует FPGA для типичных FPGA'шных задач хотят иметь локальное железо. И это будет не ускоритель типа PAC/Alveo, а скорее всего девкит или конструктор с FMC. Нужен доступ к жеелзу для испытаний, дебага и пр.
Девкиты в датацентр ставить никто не будет. Они просто не сделаны для этого

2) FPGA в облаке в качестве ускорителя - утопия на данный момент. Я не могу понять зачем FPGA-ускоритель обычному юзеру. Спроса на FPGA со стороны SW девелоперов я пока не наблюдаю. Это очень нишевая платформа на данный момент. x86 и даже GPU - куда более general purpose платформы по сравнению с FPGA.

Согласно информации, которая есть у меня, все FPGAaaS деплойменты не приносят прибыли и являются скорее имиджевым проектом: мол, смотрите, наши FPGA в облаке. Спрос на это ничтожно мал.

Но я верю в FPGA в облачной инфраструктуре, например как часть SmatNIC для оффлоада инфраструктурных нагрузок (storage, Open Virtual Switch и т.п.). Но это совсем другая история.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...