Перейти к содержанию
    

FLEX10K, кол-во блоков EAB

Уважаемые коллеги!

Проект на EPF10K50, кол-во мемори битс у которой 20000. Заполнено чуть больше половины. При добавлении в проект ещё хотя бы одной мегафункции памяти Квартус ругается, что необходимо 11 EABs, а доступно только 10. Запас по мемори битс есть. Все числа восьмибитные.

Как это понимать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 minutes ago, MrGalaxy said:

Как это понимать?

В плис 10 блоков памяти, которые, при использовании полного адресного пространства и полной доступной разрядности, дадут 20000 бит. Если блоки недоиспользованы, то пусть хоть однобитные данные будут, память кончилась

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, des00 сказал:

В плис 10 блоков памяти, которые, при использовании полного адресного пространства и полной доступной разрядности, дадут 20000 бит. Если блоки недоиспользованы, то пусть хоть однобитные данные будут, память кончилась

Получается, в данной ПЛИС память выделяется кратно 2000 бит?

Если, скажем, одна мегафункция занимает 8192 бита, то она займёт не 4 с хвостиком, а полных 5 блоков, правильно я понял?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, MrGalaxy said:

Получается, в данной ПЛИС память выделяется кратно 2000 бит?

Если, скажем, одна мегафункция занимает 8192 бита, то она займёт не 4 с хвостиком, а полных 5 блоков, правильно я понял?

лучше всего посмотреть документацию. Этой плис лет 25, у меня уже размылись детали ее реализации. В памяти могут быть доп.биты, для организации ECC режимов. Именно поэтому, в современных альтерах, в М10К блок можно уложить 8192х1 или 1024х9 бит. Как в вашей плис реализовано, я уже не помню, но есть доступная документация. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

9 часов назад, des00 сказал:

лучше всего посмотреть документацию. Этой плис лет 25, у меня уже размылись детали ее реализации. В памяти могут быть доп.биты, для организации ECC режимов. Именно поэтому, в современных альтерах, в М10К блок можно уложить 8192х1 или 1024х9 бит. Как в вашей плис реализовано, я уже не помню, но есть доступная документация. 

Большое спасибо, кажется с Вашей помощью разобрался.:hi:

Один блок не больше 2048 бит. Кстати, я ошибся, там не 20000, а, как положено, 20480 бит (а я ещё думал, что за хитрое количество такое: 20000).

Пересобрал проект, поместил массивы данных в памяти по максимуму.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Гость
Эта тема закрыта для публикации ответов.
×
×
  • Создать...