Перейти к содержанию
    

Quartus 17.0 Pin Planner Current Strength

42 минуты назад, Lmx2315 сказал:

Подтяните клоковый сигнал, на входе вашей плисины, к нулю килоомным резистором.

Или посмотрите, возможно в ПЛИС есть опция терминации входа. тогда ничего паять не придется.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 minutes ago, Lmx2315 said:

..на той что принимает.

А как физически выглядит соединение между источником сигнала и приёмником?

обычным проводом. но на частоте в 5МГц, как мне кажется, это не должно сильно влиять

55 minutes ago, iosifk said:

А как сделано согласование на линии клока?

И уж если есть возможность эти клоки "щупать" импульсами " 500Мгц", то кто же мешает сразу сделать CDC и слегка фильтрануть "клоки"?

никак. просто от выходов одной платы идут провода ко входам в другую

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 минуты назад, excly сказал:

обычным проводом. но на частоте в 5МГц, как мне кажется, это не должно сильно влиять

Т.е. Вы сигнал "на частоте в 5МГц" подаете в ПЛИС, которые умеют работать на частоте в 100 и более МГЦ. При этом фронт "на частоте в 5МГц" ПЛИС наверняка воспринимает не как "фронт", а как "пилу", да еще на ней сидят пульсации от отражений сигналов. Вот потому и надо либо сделать цифровую фильтрацию, либо нагрузить линию. Еще раз - смотрите опцию пина - "подтяжка" или даже "согласование". Задается программно где-то в настройках...   

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

15 минут назад, excly сказал:

обычным проводом. но на частоте в 5МГц, как мне кажется, это не должно сильно влиять никак.

Дело даже не в частоте, у вашей ПЛИС (без подтяжки к земле или питанию) высокоомный вход, что делает ваш проводок на этом входе - хорошей антенной для всякий коротких пичков которых на цифровой схеме вагон и маленькая тележка.

А плис воспринимает эти "иголки" как такты если они на соответствующий вход попадут. Когда ваш счётчик передаёт параллельно сначало 0xff - восемь единиц а потом 0x00 восемь нулей , то такой мощный перепад может создать наводку на тактовый провод.

Чтобы этого избежать надо обеспечить либо экранировку сигналов, либо хорошую "землю "рядом с ними и согласование .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 minutes ago, iosifk said:

Т.е. Вы сигнал "на частоте в 5МГц" подаете в ПЛИС, которые умеют работать на частоте в 100 и более МГЦ. При этом фронт "на частоте в 5МГц" ПЛИС наверняка воспринимает не как "фронт", а как "пилу", да еще на ней сидят пульсации от отражений сигналов. Вот потому и надо либо сделать цифровую фильтрацию, либо нагрузить линию. Еще раз - смотрите опцию пина - "подтяжка" или даже "согласование". Задается программно где-то в настройках...   

я нашел опцию Termination Input в настройках пина. Там много опций(OCT, Parallel, Parallel with calibration, series, series with calibration). Какую выбирать да и где почитать для каких случаев какую использовать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 минуту назад, excly сказал:

 Какую выбирать да и где почитать для каких случаев какую использовать?

У Альтеры я не знаю. Можно задать вопрос в техподдержке, чтобы сослались на документы.

Вообще все эти дела описаны в "Книге черной магии". Там полно примеров.

А вот как сделать простой фильтр в ПЛИС я могу рассказать...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

22 minutes ago, iosifk said:

У Альтеры я не знаю. Можно задать вопрос в техподдержке, чтобы сослались на документы.

Вообще все эти дела описаны в "Книге черной магии". Там полно примеров.

А вот как сделать простой фильтр в ПЛИС я могу рассказать...

если я правильно понимаю, то фильтр это синхронизатор? Если да, то лучше заводить на него не только клок, но и данные?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 минут назад, excly сказал:

если я правильно понимаю, то фильтр это синхронизатор? Если да, то лучше заводить на него не только клок, но и данные?

Фильтр - это фильтр. Он убирает дребезг на фронтах и просечки, как при 0, так и при 1.

А синхронизатор - это когда данные и клоки приходят асинхронно относительно внутренней частоты проекта. И их привязывают к  внутренней частоте...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 minute ago, iosifk said:

Фильтр - это фильтр. Он убирает дребезг на фронтах и просечки, как при 0, так и при 1.

А синхронизатор - это когда данные и клоки приходят асинхронно относительно внутренней частоты проекта. И их привязывают к  внутренней частоте...

тогда буду очень признателен, если подскажете как сделать такой фильтр. Или хотя бы покажете где об этом можно почитать

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 hours ago, iosifk said:

Фильтр - это фильтр. Он убирает дребезг на фронтах и просечки, как при 0, так и при 1.

А синхронизатор - это когда данные и клоки приходят асинхронно относительно внутренней частоты проекта. И их привязывают к  внутренней частоте...

искать нужно по запросу glitching filter?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 09.09.2019 в 12:21, DuHast сказал:

В процессе, тактируемом клоком:

In1 <= inpit_1;

In2 <= input_2;

a <= In1 and In2;

Сигналы input, сперва попадут на триггеры(которые квартус, скорее всего, сделает fast), а уже потом на логику.

Для меня это всё как-то неоднозначно и некрасиво. Откуда уверенность что такая запись заставит Quartus использовать fast input register? Была одна строчка, стало три. Я бы, например, если возникло желание принудительно использовать fast input register для конкретного сигнала установил это в Assignment editor.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 10.09.2019 в 19:57, excly сказал:

обычным проводом. но на частоте в 5МГц, как мне кажется, это не должно сильно влиять

никак. просто от выходов одной платы идут провода ко входам в другую

Для начала я бы попробовал улучшить форму сигнала. Попробуйте на плате выдающей сигнал включить Slew rate и Current Strength на минимальные значения. На входе Enable Bus-Hold Circuitry. Может Clamping Diode включить. А по хорошему сначала надо осциллографом посмотреть форму сигнала на входе. А дальше уже от этого плясать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 9/12/2019 at 5:16 AM, dinam said:

Для меня это всё как-то неоднозначно и некрасиво. Откуда уверенность что такая запись заставит Quartus использовать fast input register? Была одна строчка, стало три. Я бы, например, если возникло желание принудительно использовать fast input register для конкретного сигнала установил это в Assignment editor.

Это не выбор между количеством сорок описывающих одну и ту же схему , это две разных схемы. В первой входные пины заведены на логический элемент без триггеров, что обеспечит вам много головной боли. Во второй они завезены сперва на триггеры, а уже затем на логику.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...