Перейти к содержанию
    

Quartus 17.0 Pin Planner Current Strength

Добрый день. Подскажите, пожалуйста, по какому принципу выбирать силу тока на входных пинах и как может повлиять на работоспособность проекта неправильный выбор этого параметра? Использую плату EthOnd с Cyclone V. На вход идут данные 16 бит и клок. Все снимается нормально, но иногда проскакивают ошибки. Смотрел Signal Tap'ом, фронт клока стоит ровно посередине данных. Могут ли настройки ножек как-то влиять на появление ошибок?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я в Quartus 18.1.1 тоже аналогичное вижу. Думаю это просто недоработка Quartusa, и для входов в этом столбце должна быть пустота. В Assignment Editor уже нет этого ляпа.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, excly сказал:

 Смотрел Signal Tap'ом, фронт клока стоит ровно посередине данных.

а может быть как то иначе?

Сигнал тап - логический-же анализатор, т.е. не осциллограф.

з.ы.

о каких скоростях входных данных идёт речь?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 часа назад, excly сказал:

, по какому принципу выбирать силу тока на входных пинах и как может повлиять на работоспособность проекта неправильный выбор этого параметра? 

" сила тока" имеет значение только для выходов. Если не обозначено, то выставляется по умолчанию.

Выходной сигнал в выходной линии нарастает по экспоненте. Емкость линии + входы приемников  + согласование параллельное, если оно есть. Чем больше выходной ток, тем быстрее фронты. Но одновременно переключаться могут определенное число выводов. Смотрите - SSO. Но чем больше ток, тем меньше SSO. У ксайлинкса есть аппликухи и считалка, позволяющая определить их число.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Lmx2315 said:

а может быть как то иначе?

Сигнал тап - логический-же анализатор, т.е. не осциллограф.

з.ы.

о каких скоростях входных данных идёт речь?

50МГц

1 hour ago, Lmx2315 said:

а может быть как то иначе?

Сигнал тап - логический-же анализатор, т.е. не осциллограф.

з.ы.

о каких скоростях входных данных идёт речь?

Если я создаю модуль в самой ПЛИС, который генерирует данные, и подаю их на вход принимающего модуля, то все отлично, никаких ошибок нет. Поэтому я и подумал, что дело в настройках ножек.

 

И еще вопрос. Нужно ли входные ножки подтягивать к земле или питанию. Если да, то к чему лучше?

Изменено пользователем excly

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 минут назад, excly сказал:

50МГц

Это довольно низкая частота и достаточно убедиться что у вас на входе данные с клоком нормально выглядят и нормально сдвинуты друг относительно друга , реальным физическим осциллографом . И ещё - что ваш проект после трансляции поддерживает такую частоту работы.

Ваши данные вы тактируете внешним клоком или внутренним? 

19 минут назад, excly сказал:

Нужно ли входные ножки подтягивать к земле или питанию. Если да, то к чему лучше?

Входные ножки нужно подтягивать только если есть возможность неопределённого состояния на них, если такой возможности нет - т.е. когда вход сидит непосредственно на источнике сигнала (и который никогда не переводит свой выход в третье состояние) то подтяжка не нужна.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 minutes ago, Lmx2315 said:

Это довольно низкая частота и достаточно убедиться что у вас на входе данные с клоком нормально выглядят и нормально сдвинуты друг относительно друга , реальным физическим осциллографом . И ещё - что ваш проект после трансляции поддерживает такую частоту работы.

Ваши данные вы тактируете внешним клоком или внутренним? 

В том случае, когда они приходят снаружи, а не генерируются модулем - клок внешний

6 minutes ago, Lmx2315 said:

Это довольно низкая частота и достаточно убедиться что у вас на входе данные с клоком нормально выглядят и нормально сдвинуты друг относительно друга , реальным физическим осциллографом . И ещё - что ваш проект после трансляции поддерживает такую частоту работы.

Ваши данные вы тактируете внешним клоком или внутренним? 

Входные ножки нужно подтягивать только если есть возможность неопределённого состояния на них, если такой возможности нет - т.е. когда вход сидит непосредственно на источнике сигнала (и который никогда не переводит свой выход в третье состояние) то подтяжка не нужна.

Добавлю еще, что сигнал с входных ножек сразу заводится на FIFO. Ножки настроены по умолчанию

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 минуту назад, excly сказал:

В том случае, когда они приходят снаружи, а не генерируются модулем - клок внешний

Какую рабочую частоту показывает ваш откомпилированный проект?

Вы посмотрели как выглядят ваши сигналы на входе? Может там клок и данные фронт в фронт приходят?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 minutes ago, Lmx2315 said:

Какую рабочую частоту показывает ваш откомпилированный проект?

Вы посмотрели как выглядят ваши сигналы на входе? Может там клок и данные фронт в фронт приходят?

Фронт клока стоит ровно посередине данных. 

Подскажите, пожалуйста, где я увижу информацию о рабочей частоте после компиляции

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 минут назад, excly сказал:

Фронт клока стоит ровно посередине данных. 

Подскажите, пожалуйста, где я увижу информацию о рабочей частоте после компиляции

а у вас констрейны заданы? Рабочая частота указана в проекте?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 minute ago, Lmx2315 said:

а у вас констрейны заданы? Рабочая частота указана в проекте?

Нашел, где посмотреть. Странно, что не указана частота внешнего клока(который с данными идет), хотя я ее описал в констрейнах.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 минут назад, excly сказал:

Нашел, где посмотреть. Странно, что не указана частота внешнего клока(который с данными идет), хотя я ее описал в констрейнах.

а вы внешнюю частоту на клоковый вход принимаете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 минуту назад, excly сказал:

да

..а как вы узнаёте что данные сбойные, а не такие пришли?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

15 hours ago, excly said:

 

Добавлю еще, что сигнал с входных ножек сразу заводится на FIFO. Ножки настроены по умолчанию

Все входные и выходные сигналы крайне желательно пропускать через fast input/output trigger'ы, расположенные в пинах ПЛИС

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...