Перейти к содержанию
    

Vivado Zynq GPIO

Извините за, по всей видимости, дурацкий вопрос.

Приобрел myir z-turn и пытаюсь повторить на ней "hello world"-ы из "The Zynq book tutorials".

Споткнулся на проброске сигнала с PL gpio в PS через AXI интерфейс.

Добавил в проект блок AXI GPIO.  Connection Automation создает порт gpio_rtl_0 и соединяет его с портом GPIO блока.

После синтеза gpio_rtl_0 отсутствует в таблице I/O Ports и я не могу назначить его на нужный пин

В книжке AXI GPIO автоматически соединяется с портами кнопок и светодиодов Zybo/ZedBoard.

Подскажите где нужно прописать конфигурацию?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 hours ago, svedach said:

Добавьте скриншот.

 

io.png

sch.png

7 hours ago, gosha-z said:

Правильно ли я понял, что надо подключить блок AXI GPIO к PS? 

Одной стороной AXI GPIO  подключен к PS (с этим вроде все нормально), а другим подключен к выводу PL на котором висит кнопка.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Обычно блоки подключаются через AXI Interconnect. Выложите полностью скриншот блочного проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, svedach said:

Обычно блоки подключаются через AXI Interconnect. Выложите полностью скриншот блочного проекта.

sch2.thumb.png.c915c25866f990f2609a515c6280747c.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

думаю проблема в dcm_locked - он не заведен никуда. Попробуйте завести его на FCLK_RESET0_N для начала

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, svedach said:

думаю проблема в dcm_locked - он не заведен никуда. Попробуйте завести его на FCLK_RESET0_N для начала

К сожалению ни чего не изменилось:

sch3.thumb.png.f34b0474074b4a123cec580eda845fb9.png

В примере из книжки dcm_locked  тоже висит:

 

sch_zb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проблема решилась, только пока точно не понял как.

Похоже после изменений в схеме, какая-то часть проекта не обновлялась.

моя последовательность действий: изменения в схеме -> синтез -> смотрим сгенерированный дизайн.

Это верно или я что-то упускаю?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Последовательность правильная (только надо отслеживать сохранение проекта...). Возможно внесли изменения и не нажали "Сохранить" - отсинтезился проект с ошибкой/не полный...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/31/2019 at 6:30 PM, Trizna said:

Проблема решилась, только пока точно не понял как.

Похоже после изменений в схеме, какая-то часть проекта не обновлялась.

моя последовательность действий: изменения в схеме -> синтез -> смотрим сгенерированный дизайн.

Это верно или я что-то упускаю?

Да, проблема могла быть в сохранении проекта. Но для полной уверенности у вас должны быть полные констрейны для пинов с СООТВЕТСТВУЮЩИМИ названиями портов и их объявлением. Тогда точно всё будет хорошо и никаких непоняток быть не будет. Я не раз сталкивался с такими "приколами" BD дизайнинга.

Пример Вашего pin_constraint.xdc

set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS33} [get_ports {gpio_rtl_0}]	# Где М20 - соответствующий номер пина на плате

Как говорится что написно пером в констрейнах, то не пропустят никогда.

Отсюда такой же совет: учитесь делать проект изначально правильно и с меньшими надеждами на "автоматизацию", а то эти САПРы такие ненадёжные :wink:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...