Перейти к содержанию
    

DPI функционал при запуске симуляции в Modelsim\Questasim из Vivado

Стало интересно попробовать, что за зверь такой DPI, и как его можно применить к реальным проектам. Поиск по форумам и документации не дал ничего, кроме примеров для встроенного в Вивадо симулятора. Т.к. пользуемся не им, а Modelsim'ом\Quest'ой - встал вопрос, можно ли как-то без особых вывертов сделать так, чтобы это работало. Ни у кого не было такого опыта?

Изменено пользователем Tausinov

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

Так в Modelsim/Questa это еще проще будет.  Что конкретно вам интересно? 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, Tausinov said:

Modelsim'ом\Quest'ой - встал вопрос, можно ли как-то без особых вывертов сделать так, чтобы это работало

Так что для xsiм’а, что для модельсима - в папке установки лежит готовый пример для запуска

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 hours ago, Tausinov said:

Стало интересно попробовать, что за зверь такой DPI, и как его можно применить к реальным проектам. Поиск по форумам и документации не дал ничего, кроме примеров для встроенного в Вивадо симулятора. Т.к. пользуемся не им, а Modelsim'ом\Quest'ой - встал вопрос, можно ли как-то без особых вывертов сделать так, чтобы это работало. Ни у кого не было такого опыта?

Я всё применял, и PLI/VPI и DPI. Проще всего было в Active HDL - там прям визард-добавлятор был. А для Modelsim/Questa я бы делал через скрипты запуска, вызывал бы сборку библиотеки сначала, а уже потом ее подключать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

3 hours ago, AVR said:

Проще всего было в Active HDL - там прям визард-добавлятор был. А для Modelsim/Questa я бы делал через скрипты запуска, вызывал бы сборку библиотеки сначала, а уже потом ее подключать

Да, так  удобно если DPI проект большой, с кучей исходников. Или если на сторону DPI либу отдавать надо.

Для малых поделок можно делать все в одну строку, например для Modelsim/Questa

vlog -sv -dpiheader my_dpi_math.h .../dpi/my_dpi_math.sv ..../dpi/my_dpi_math.c

Все автоматом слинкуется при запуске сима  

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 7/30/2019 at 3:53 PM, RobFPGA said:

Для малых поделок можно делать все в одну строку, например для Modelsim/Questa

vlog -sv -dpiheader my_dpi_math.h .../dpi/my_dpi_math.sv ..../dpi/my_dpi_math.c

Ого, не знал. Хороший способ, буду иметь ввиду, благодарю. И ТС-у наверняка этот вариант годен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 7/29/2019 at 6:59 PM, Tausinov said:

... можно ли как-то без особых вывертов сделать так, чтобы это работало..

 

Не то чтобы совсем без вывертов, но со сборкой *.SO под LIN для Questa как то так:

1g_ethernet_dpi_trunk

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...