Jump to content
    

Efinix Trion FPGA кто нибудь слышал?

Поставил самую последнюю версию. Все стало как надо.

Может стоит залить на фтп?

Share this post


Link to post
Share on other sites

1 hour ago, Realking said:

Поставил самую последнюю версию. Все стало как надо.

Может стоит залить на фтп?

Да! Было бы неплохо

Share this post


Link to post
Share on other sites

Коллеги, добрый вечер!

Подскажите пожалуйста, какая версия Efinity более-менее стабильная? У меня щас стоит 2021.2 (с патчем), и мне кажется, она меня скоро доканает)

Share this post


Link to post
Share on other sites

10 hours ago, gin said:

Коллеги, добрый вечер!

Подскажите пожалуйста, какая версия Efinity более-менее стабильная? У меня щас стоит 2021.2 (с патчем), и мне кажется, она меня скоро доканает)

А в чем выражается нестабильность?

На фтп выложена последняя версия.

А так, да, непривычно после квартуса

Share this post


Link to post
Share on other sites

28 минут назад, Realking сказал:

А в чем выражается нестабильность?

вот пример ошибки, которую я понять не могу

Net 'gen_tx_fast_udp_buf[0].tx_fast_udp_buf/bufring128_main_inst/n1' has multiple drivers (..\libs\bufring\test_tdpr.vhd:19)

Ок, смотрю на файл и 19 строку, на которую ругается Efinity

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test_tdpr is
    generic 
    (
        DATA_WIDTH : natural := 1;
        ADDR_DEPTH : natural := 1024
    );

    port 
    (
        clk_a    : in std_logic;
        clk_b    : in std_logic;
        addr_a    : in natural range 0 to ADDR_DEPTH - 1;
        addr_b    : in natural range 0 to ADDR_DEPTH - 1;
        data_a    : in std_logic_vector((DATA_WIDTH-1) downto 0);
        data_b    : in std_logic_vector((DATA_WIDTH-1) downto 0);
        we_a    : in std_logic := '1';
        we_b    : in std_logic := '1';
        q_a        : out std_logic_vector((DATA_WIDTH -1) downto 0);
        q_b        : out std_logic_vector((DATA_WIDTH -1) downto 0)
    );
end test_tdpr;

 

19 строка - это   

data_b    : in std_logic_vector((DATA_WIDTH-1) downto 0);

 

Далее смотрю, где и как этот модуль подключается

test_tdpr_ctrl_bit : entity work.test_tdpr
    generic map 
    (
        DATA_WIDTH => 1,
        ADDR_DEPTH => FIFO_WORD_DEPTH
    )

    port map
    (
        clk_a    => clk_wr,
        clk_b    => clk_rd,
        addr_a    => tdpr_a_addr,
        addr_b    => tdpr_b_addr,
        data_a    => mem_bit_ctrl_wr,
        data_b    => (others => '0'),
        we_a    => tdpr_a_we,
        we_b    => tdpr_b_we,
        q_a        => open,
        q_b        => bit_ctrl_rd
    );
data_b    => (others => '0'),

Какой может быть мультипл драйверс, когда там порт тупо посажен на ноль!

И самое забавное, в другом проекте эта же часть кода нормально собирается.

Вот как то так 😢

29 минут назад, Realking сказал:

На фтп выложена последняя версия.

А какая там последняя версия, и как к ftp доступ получить?

Edited by gin

Share this post


Link to post
Share on other sites

14 минут назад, gin сказал:

А какая там последняя версия, и как к ftp доступ получить?

https://electronix.ru/forum/index.php?app=forums&module=forums&controller=forums&id=89

Share this post


Link to post
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...