Перейти к содержанию
    

Efinix Trion FPGA кто нибудь слышал?

Поставил самую последнюю версию. Все стало как надо.

Может стоит залить на фтп?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Realking said:

Поставил самую последнюю версию. Все стало как надо.

Может стоит залить на фтп?

Да! Было бы неплохо

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 minutes ago, Джеймс said:

Да! Было бы неплохо

Залил. См. новости FTP

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 13.10.2022 в 14:58, Realking сказал:

Залил. См. новости FTP

Спасибо!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Коллеги, добрый вечер!

Подскажите пожалуйста, какая версия Efinity более-менее стабильная? У меня щас стоит 2021.2 (с патчем), и мне кажется, она меня скоро доканает)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 hours ago, gin said:

Коллеги, добрый вечер!

Подскажите пожалуйста, какая версия Efinity более-менее стабильная? У меня щас стоит 2021.2 (с патчем), и мне кажется, она меня скоро доканает)

А в чем выражается нестабильность?

На фтп выложена последняя версия.

А так, да, непривычно после квартуса

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

28 минут назад, Realking сказал:

А в чем выражается нестабильность?

вот пример ошибки, которую я понять не могу

Net 'gen_tx_fast_udp_buf[0].tx_fast_udp_buf/bufring128_main_inst/n1' has multiple drivers (..\libs\bufring\test_tdpr.vhd:19)

Ок, смотрю на файл и 19 строку, на которую ругается Efinity

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test_tdpr is
    generic 
    (
        DATA_WIDTH : natural := 1;
        ADDR_DEPTH : natural := 1024
    );

    port 
    (
        clk_a    : in std_logic;
        clk_b    : in std_logic;
        addr_a    : in natural range 0 to ADDR_DEPTH - 1;
        addr_b    : in natural range 0 to ADDR_DEPTH - 1;
        data_a    : in std_logic_vector((DATA_WIDTH-1) downto 0);
        data_b    : in std_logic_vector((DATA_WIDTH-1) downto 0);
        we_a    : in std_logic := '1';
        we_b    : in std_logic := '1';
        q_a        : out std_logic_vector((DATA_WIDTH -1) downto 0);
        q_b        : out std_logic_vector((DATA_WIDTH -1) downto 0)
    );
end test_tdpr;

 

19 строка - это   

data_b    : in std_logic_vector((DATA_WIDTH-1) downto 0);

 

Далее смотрю, где и как этот модуль подключается

test_tdpr_ctrl_bit : entity work.test_tdpr
    generic map 
    (
        DATA_WIDTH => 1,
        ADDR_DEPTH => FIFO_WORD_DEPTH
    )

    port map
    (
        clk_a    => clk_wr,
        clk_b    => clk_rd,
        addr_a    => tdpr_a_addr,
        addr_b    => tdpr_b_addr,
        data_a    => mem_bit_ctrl_wr,
        data_b    => (others => '0'),
        we_a    => tdpr_a_we,
        we_b    => tdpr_b_we,
        q_a        => open,
        q_b        => bit_ctrl_rd
    );
data_b    => (others => '0'),

Какой может быть мультипл драйверс, когда там порт тупо посажен на ноль!

И самое забавное, в другом проекте эта же часть кода нормально собирается.

Вот как то так 😢

29 минут назад, Realking сказал:

На фтп выложена последняя версия.

А какая там последняя версия, и как к ftp доступ получить?

Изменено пользователем gin

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 минут назад, gin сказал:

А какая там последняя версия, и как к ftp доступ получить?

https://electronix.ru/forum/index.php?app=forums&module=forums&controller=forums&id=89

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...